content
stringlengths
38
450k
lang
stringclasses
1 value
size
int64
38
450k
ext
stringclasses
3 values
max_stars_count
int64
1
357
avg_line_length
float64
7.6
67.5
max_line_length
int64
21
468
alphanum_fraction
float64
0.31
0.83
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Testsuite Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Check whether 'namespace' and 'owner' attributes of UML::Operation class -- are computed properly. ------------------------------------------------------------------------------ with League.Strings; with AMF.Facility; with AMF.Factories.UML_Factories; with AMF.UML.Classes; with AMF.UML.Elements; with AMF.UML.Namespaces; with AMF.UML.Packageable_Elements.Collections; with AMF.UML.Packages; with AMF.UML.Operations.Collections; with AMF.URI_Stores; with AMF.Internals.Modules.UML_Module; pragma Unreferenced (AMF.Internals.Modules.UML_Module); procedure Test_222 is use type AMF.UML.Elements.UML_Element_Access; use type AMF.UML.Namespaces.UML_Namespace_Access; function "+" (Item : Wide_Wide_String) return League.Strings.Universal_String renames League.Strings.To_Universal_String; UML_URI : constant League.Strings.Universal_String := +"http://www.omg.org/spec/UML/20100901"; Store : AMF.URI_Stores.URI_Store_Access; UML_Factory : AMF.Factories.UML_Factories.UML_Factory_Access; The_Package : AMF.UML.Packages.UML_Package_Access; The_Class : AMF.UML.Classes.UML_Class_Access; The_Operation : AMF.UML.Operations.UML_Operation_Access; The_Namespace : AMF.UML.Namespaces.UML_Namespace_Access; Packaged_Element : AMF.UML.Packageable_Elements.Collections.Set_Of_UML_Packageable_Element; Owned_Operation : AMF.UML.Operations.Collections.Ordered_Set_Of_UML_Operation; The_Element : AMF.UML.Elements.UML_Element_Access; begin -- Initialize facility. AMF.Facility.Initialize; -- Create URI store. Store := AMF.Facility.Create_URI_Store (+"local:///test"); -- Lookup for factory. UML_Factory := AMF.Factories.UML_Factories.UML_Factory_Access (Store.Get_Factory (UML_URI)); -- Create elements. The_Package := UML_Factory.Create_Package; The_Class := UML_Factory.Create_Class; The_Operation := UML_Factory.Create_Operation; -- Link elements. Packaged_Element := The_Package.Get_Packaged_Element; Packaged_Element.Add (The_Class); Owned_Operation := The_Class.Get_Owned_Operation; Owned_Operation.Add (The_Operation); -- Check value of 'namespace' attribute. The_Namespace := The_Operation.Get_Namespace; if The_Namespace = null then raise Program_Error; end if; if The_Namespace /= AMF.UML.Namespaces.UML_Namespace_Access (The_Class) then raise Program_Error; end if; -- Check value of 'owner' attribute. The_Element := The_Operation.Get_Owner; if The_Element = null then raise Program_Error; end if; if The_Element /= AMF.UML.Elements.UML_Element_Access (The_Class) then raise Program_Error; end if; end Test_222;
Ada
6,121
adb
24
44.035971
79
0.53586
-- generic_list.adb -*- Ada -*- -- -- This package defines a generic list and list iterator. -- -- Author: Eric Gustafson -- Date: 25 August 1998 -- -- ------------------------------------------------------------ -- -- $Revision$ -- -- $Log$ -- ------------------------------------------------------------ package body Generic_List is -- ----- List_Type Methods --------------------------------- procedure List_Add( List : in out List_Type; Element : in Element_Type ) is begin if List.Num_Elements = List.List'Last then declare New_List : Element_Array_Access := new Element_Array(1..List.List'Last+3); begin New_List(List.List'Range) := List.List.all; -- Deallocate list.list access List.List := New_List; end; end if; List.Num_Elements := List.Num_Elements + 1; List.List(List.Num_Elements) := Element; end List_Add; -- --------------------------------------------------------- function List_New_Iterator( List : in List_Type ) return List_Iterator_Type is List_Iterator : List_Iterator_Type; begin List_Iterator.List := List.List; List_Iterator.Num_Elements := List.Num_Elements; return List_Iterator; end List_New_Iterator; -- ----- List_Iterator_Type Methods ------------------------ function Is_Next( List_Iterator : in List_Iterator_Type ) return Boolean is begin if List_Iterator.Index <= List_Iterator.Num_Elements then return True; else return False; end if; end Is_Next; -- --------------------------------------------------------- procedure Get_Next( List_Iterator : in out List_Iterator_Type; Next_Element : out Element_Type ) is begin if not Is_Next( List_Iterator ) then raise Iterator_Bound_Error; end if; Next_Element := List_Iterator.List(List_Iterator.Index); List_Iterator.Index := List_Iterator.Index + 1; end Get_Next; end Generic_List;
Ada
2,167
adb
2
23.813187
67
0.515459
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2015, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ package body Servlet.HTTP_Responses is ---------------- -- Get_Header -- ---------------- function Get_Header (Self : in out HTTP_Servlet_Response'Class; Name : League.Strings.Universal_String) return League.Strings.Universal_String is Aux : constant League.String_Vectors.Universal_String_Vector := Self.Get_Headers (Name); begin if Aux.Is_Empty then return League.Strings.Empty_Universal_String; else return Aux (1); end if; end Get_Header; end Servlet.HTTP_Responses;
Ada
3,904
adb
null
56.57971
78
0.421619
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . A L T I V E C . V E C T O R _ O P E R A T I O N S -- -- -- -- B o d y -- -- -- -- Copyright (C) 2004-2019, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with GNAT.Altivec.Low_Level_Interface; use GNAT.Altivec.Low_Level_Interface; package body GNAT.Altivec.Vector_Operations is -------------------------------------------------------- -- Bodies for generic and specific Altivec operations -- -------------------------------------------------------- ------------- -- vec_abs -- ------------- function vec_abs (A : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (abs_v16qi (A)); end vec_abs; function vec_abs (A : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (abs_v8hi (A)); end vec_abs; function vec_abs (A : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (abs_v4si (A)); end vec_abs; function vec_abs (A : vector_float) return vector_float is begin return To_LL_VF (abs_v4sf (A)); end vec_abs; -------------- -- vec_abss -- -------------- function vec_abss (A : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (abss_v16qi (A)); end vec_abss; function vec_abss (A : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (abss_v8hi (A)); end vec_abss; function vec_abss (A : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (abss_v4si (A)); end vec_abss; ------------- -- vec_add -- ------------- function vec_add (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_add; function vec_add (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_add; function vec_add (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_add; function vec_add (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vaddfp (To_LL_VF (A), To_LL_VF (B))); end vec_add; ---------------- -- vec_vaddfp -- ---------------- function vec_vaddfp (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vaddfp (To_LL_VF (A), To_LL_VF (B))); end vec_vaddfp; ----------------- -- vec_vadduwm -- ----------------- function vec_vadduwm (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; function vec_vadduwm (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; function vec_vadduwm (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; function vec_vadduwm (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; function vec_vadduwm (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; function vec_vadduwm (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduwm; ----------------- -- vec_vadduhm -- ----------------- function vec_vadduhm (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; function vec_vadduhm (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; function vec_vadduhm (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; function vec_vadduhm (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; function vec_vadduhm (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; function vec_vadduhm (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhm; ----------------- -- vec_vaddubm -- ----------------- function vec_vaddubm (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; function vec_vaddubm (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; function vec_vaddubm (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; function vec_vaddubm (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; function vec_vaddubm (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; function vec_vaddubm (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubm; -------------- -- vec_addc -- -------------- function vec_addc (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vaddcuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_addc; -------------- -- vec_adds -- -------------- function vec_adds (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_adds; function vec_adds (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_adds; function vec_adds (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; function vec_adds (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; function vec_adds (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; function vec_adds (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; function vec_adds (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; function vec_adds (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_adds; ----------------- -- vec_vaddsws -- ----------------- function vec_vaddsws (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vaddsws; function vec_vaddsws (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vaddsws; function vec_vaddsws (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vaddsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vaddsws; ----------------- -- vec_vadduws -- ----------------- function vec_vadduws (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduws; function vec_vadduws (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduws; function vec_vadduws (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vadduws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vadduws; ----------------- -- vec_vaddshs -- ----------------- function vec_vaddshs (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vaddshs; function vec_vaddshs (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vaddshs; function vec_vaddshs (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vaddshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vaddshs; ----------------- -- vec_vadduhs -- ----------------- function vec_vadduhs (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhs; function vec_vadduhs (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhs; function vec_vadduhs (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vadduhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vadduhs; ----------------- -- vec_vaddsbs -- ----------------- function vec_vaddsbs (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddsbs; function vec_vaddsbs (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddsbs; function vec_vaddsbs (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vaddsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddsbs; ----------------- -- vec_vaddubs -- ----------------- function vec_vaddubs (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubs; function vec_vaddubs (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubs; function vec_vaddubs (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vaddubs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vaddubs; ------------- -- vec_and -- ------------- function vec_and (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_float; B : vector_bool_int) return vector_float is begin return To_LL_VF (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_int; B : vector_float) return vector_float is begin return To_LL_VF (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; function vec_and (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vand (To_LL_VSI (A), To_LL_VSI (B))); end vec_and; -------------- -- vec_andc -- -------------- function vec_andc (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_float; B : vector_bool_int) return vector_float is begin return To_LL_VF (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_int; B : vector_float) return vector_float is begin return To_LL_VF (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; function vec_andc (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vandc (To_LL_VSI (A), To_LL_VSI (B))); end vec_andc; ------------- -- vec_avg -- ------------- function vec_avg (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vavgub (To_LL_VSC (A), To_LL_VSC (B))); end vec_avg; function vec_avg (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vavgsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_avg; function vec_avg (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vavguh (To_LL_VSS (A), To_LL_VSS (B))); end vec_avg; function vec_avg (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vavgsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_avg; function vec_avg (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vavguw (To_LL_VSI (A), To_LL_VSI (B))); end vec_avg; function vec_avg (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vavgsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_avg; ---------------- -- vec_vavgsw -- ---------------- function vec_vavgsw (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vavgsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vavgsw; ---------------- -- vec_vavguw -- ---------------- function vec_vavguw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vavguw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vavguw; ---------------- -- vec_vavgsh -- ---------------- function vec_vavgsh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vavgsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vavgsh; ---------------- -- vec_vavguh -- ---------------- function vec_vavguh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vavguh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vavguh; ---------------- -- vec_vavgsb -- ---------------- function vec_vavgsb (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vavgsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vavgsb; ---------------- -- vec_vavgub -- ---------------- function vec_vavgub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vavgub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vavgub; -------------- -- vec_ceil -- -------------- function vec_ceil (A : vector_float) return vector_float is begin return To_LL_VF (vrfip (To_LL_VF (A))); end vec_ceil; -------------- -- vec_cmpb -- -------------- function vec_cmpb (A : vector_float; B : vector_float) return vector_signed_int is begin return To_LL_VSI (vcmpbfp (To_LL_VF (A), To_LL_VF (B))); end vec_cmpb; --------------- -- vec_cmpeq -- --------------- function vec_cmpeq (A : vector_signed_char; B : vector_signed_char) return vector_bool_char is begin return To_LL_VBC (vcmpequb (To_LL_VSC (A), To_LL_VSC (B))); end vec_cmpeq; function vec_cmpeq (A : vector_unsigned_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vcmpequb (To_LL_VSC (A), To_LL_VSC (B))); end vec_cmpeq; function vec_cmpeq (A : vector_signed_short; B : vector_signed_short) return vector_bool_short is begin return To_LL_VBS (vcmpequh (To_LL_VSS (A), To_LL_VSS (B))); end vec_cmpeq; function vec_cmpeq (A : vector_unsigned_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vcmpequh (To_LL_VSS (A), To_LL_VSS (B))); end vec_cmpeq; function vec_cmpeq (A : vector_signed_int; B : vector_signed_int) return vector_bool_int is begin return To_LL_VBI (vcmpequw (To_LL_VSI (A), To_LL_VSI (B))); end vec_cmpeq; function vec_cmpeq (A : vector_unsigned_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vcmpequw (To_LL_VSI (A), To_LL_VSI (B))); end vec_cmpeq; function vec_cmpeq (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpeqfp (To_LL_VF (A), To_LL_VF (B))); end vec_cmpeq; ------------------ -- vec_vcmpeqfp -- ------------------ function vec_vcmpeqfp (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpeqfp (To_LL_VF (A), To_LL_VF (B))); end vec_vcmpeqfp; ------------------ -- vec_vcmpequw -- ------------------ function vec_vcmpequw (A : vector_signed_int; B : vector_signed_int) return vector_bool_int is begin return To_LL_VBI (vcmpequw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vcmpequw; function vec_vcmpequw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vcmpequw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vcmpequw; ------------------ -- vec_vcmpequh -- ------------------ function vec_vcmpequh (A : vector_signed_short; B : vector_signed_short) return vector_bool_short is begin return To_LL_VBS (vcmpequh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vcmpequh; function vec_vcmpequh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vcmpequh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vcmpequh; ------------------ -- vec_vcmpequb -- ------------------ function vec_vcmpequb (A : vector_signed_char; B : vector_signed_char) return vector_bool_char is begin return To_LL_VBC (vcmpequb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vcmpequb; function vec_vcmpequb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vcmpequb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vcmpequb; --------------- -- vec_cmpge -- --------------- function vec_cmpge (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpgefp (To_LL_VF (A), To_LL_VF (B))); end vec_cmpge; --------------- -- vec_cmpgt -- --------------- function vec_cmpgt (A : vector_unsigned_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtub (To_LL_VSC (A), To_LL_VSC (B))); end vec_cmpgt; function vec_cmpgt (A : vector_signed_char; B : vector_signed_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_cmpgt; function vec_cmpgt (A : vector_unsigned_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_cmpgt; function vec_cmpgt (A : vector_signed_short; B : vector_signed_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_cmpgt; function vec_cmpgt (A : vector_unsigned_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_cmpgt; function vec_cmpgt (A : vector_signed_int; B : vector_signed_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_cmpgt; function vec_cmpgt (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpgtfp (To_LL_VF (A), To_LL_VF (B))); end vec_cmpgt; ------------------ -- vec_vcmpgtfp -- ------------------ function vec_vcmpgtfp (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpgtfp (To_LL_VF (A), To_LL_VF (B))); end vec_vcmpgtfp; ------------------ -- vec_vcmpgtsw -- ------------------ function vec_vcmpgtsw (A : vector_signed_int; B : vector_signed_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vcmpgtsw; ------------------ -- vec_vcmpgtuw -- ------------------ function vec_vcmpgtuw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vcmpgtuw; ------------------ -- vec_vcmpgtsh -- ------------------ function vec_vcmpgtsh (A : vector_signed_short; B : vector_signed_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vcmpgtsh; ------------------ -- vec_vcmpgtuh -- ------------------ function vec_vcmpgtuh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vcmpgtuh; ------------------ -- vec_vcmpgtsb -- ------------------ function vec_vcmpgtsb (A : vector_signed_char; B : vector_signed_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vcmpgtsb; ------------------ -- vec_vcmpgtub -- ------------------ function vec_vcmpgtub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vcmpgtub; --------------- -- vec_cmple -- --------------- function vec_cmple (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpgefp (To_LL_VF (B), To_LL_VF (A))); end vec_cmple; --------------- -- vec_cmplt -- --------------- function vec_cmplt (A : vector_unsigned_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtub (To_LL_VSC (B), To_LL_VSC (A))); end vec_cmplt; function vec_cmplt (A : vector_signed_char; B : vector_signed_char) return vector_bool_char is begin return To_LL_VBC (vcmpgtsb (To_LL_VSC (B), To_LL_VSC (A))); end vec_cmplt; function vec_cmplt (A : vector_unsigned_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtuh (To_LL_VSS (B), To_LL_VSS (A))); end vec_cmplt; function vec_cmplt (A : vector_signed_short; B : vector_signed_short) return vector_bool_short is begin return To_LL_VBS (vcmpgtsh (To_LL_VSS (B), To_LL_VSS (A))); end vec_cmplt; function vec_cmplt (A : vector_unsigned_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtuw (To_LL_VSI (B), To_LL_VSI (A))); end vec_cmplt; function vec_cmplt (A : vector_signed_int; B : vector_signed_int) return vector_bool_int is begin return To_LL_VBI (vcmpgtsw (To_LL_VSI (B), To_LL_VSI (A))); end vec_cmplt; function vec_cmplt (A : vector_float; B : vector_float) return vector_bool_int is begin return To_LL_VBI (vcmpgtfp (To_LL_VF (B), To_LL_VF (A))); end vec_cmplt; --------------- -- vec_expte -- --------------- function vec_expte (A : vector_float) return vector_float is begin return To_LL_VF (vexptefp (To_LL_VF (A))); end vec_expte; --------------- -- vec_floor -- --------------- function vec_floor (A : vector_float) return vector_float is begin return To_LL_VF (vrfim (To_LL_VF (A))); end vec_floor; ------------ -- vec_ld -- ------------ function vec_ld (A : c_long; B : const_vector_float_ptr) return vector_float is begin return To_LL_VF (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_float_ptr) return vector_float is begin return To_LL_VF (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_bool_int_ptr) return vector_bool_int is begin return To_LL_VBI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_signed_int_ptr) return vector_signed_int is begin return To_LL_VSI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_int_ptr) return vector_signed_int is begin return To_LL_VSI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_long_ptr) return vector_signed_int is begin return To_LL_VSI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_unsigned_long_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_bool_short_ptr) return vector_bool_short is begin return To_LL_VBS (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_pixel_ptr) return vector_pixel is begin return To_LL_VP (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_signed_short_ptr) return vector_signed_short is begin return To_LL_VSS (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_short_ptr) return vector_signed_short is begin return To_LL_VSS (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_bool_char_ptr) return vector_bool_char is begin return To_LL_VBC (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_vector_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvx (A, To_PTR (B))); end vec_ld; function vec_ld (A : c_long; B : const_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvx (A, To_PTR (B))); end vec_ld; ------------- -- vec_lde -- ------------- function vec_lde (A : c_long; B : const_signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvebx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvebx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_short_ptr) return vector_signed_short is begin return To_LL_VSS (lvehx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvehx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_float_ptr) return vector_float is begin return To_LL_VF (lvewx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_int_ptr) return vector_signed_int is begin return To_LL_VSI (lvewx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvewx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_long_ptr) return vector_signed_int is begin return To_LL_VSI (lvewx (A, To_PTR (B))); end vec_lde; function vec_lde (A : c_long; B : const_unsigned_long_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvewx (A, To_PTR (B))); end vec_lde; --------------- -- vec_lvewx -- --------------- function vec_lvewx (A : c_long; B : float_ptr) return vector_float is begin return To_LL_VF (lvewx (A, To_PTR (B))); end vec_lvewx; function vec_lvewx (A : c_long; B : int_ptr) return vector_signed_int is begin return To_LL_VSI (lvewx (A, To_PTR (B))); end vec_lvewx; function vec_lvewx (A : c_long; B : unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvewx (A, To_PTR (B))); end vec_lvewx; function vec_lvewx (A : c_long; B : long_ptr) return vector_signed_int is begin return To_LL_VSI (lvewx (A, To_PTR (B))); end vec_lvewx; function vec_lvewx (A : c_long; B : unsigned_long_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvewx (A, To_PTR (B))); end vec_lvewx; --------------- -- vec_lvehx -- --------------- function vec_lvehx (A : c_long; B : short_ptr) return vector_signed_short is begin return To_LL_VSS (lvehx (A, To_PTR (B))); end vec_lvehx; function vec_lvehx (A : c_long; B : unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvehx (A, To_PTR (B))); end vec_lvehx; --------------- -- vec_lvebx -- --------------- function vec_lvebx (A : c_long; B : signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvebx (A, To_PTR (B))); end vec_lvebx; function vec_lvebx (A : c_long; B : unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvebx (A, To_PTR (B))); end vec_lvebx; ------------- -- vec_ldl -- ------------- function vec_ldl (A : c_long; B : const_vector_float_ptr) return vector_float is begin return To_LL_VF (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_float_ptr) return vector_float is begin return To_LL_VF (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_bool_int_ptr) return vector_bool_int is begin return To_LL_VBI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_signed_int_ptr) return vector_signed_int is begin return To_LL_VSI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_int_ptr) return vector_signed_int is begin return To_LL_VSI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_long_ptr) return vector_signed_int is begin return To_LL_VSI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_unsigned_int_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_unsigned_long_ptr) return vector_unsigned_int is begin return To_LL_VUI (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_bool_short_ptr) return vector_bool_short is begin return To_LL_VBS (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_pixel_ptr) return vector_pixel is begin return To_LL_VP (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_signed_short_ptr) return vector_signed_short is begin return To_LL_VSS (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_short_ptr) return vector_signed_short is begin return To_LL_VSS (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_unsigned_short_ptr) return vector_unsigned_short is begin return To_LL_VUS (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_bool_char_ptr) return vector_bool_char is begin return To_LL_VBC (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_signed_char_ptr) return vector_signed_char is begin return To_LL_VSC (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_vector_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvxl (A, To_PTR (B))); end vec_ldl; function vec_ldl (A : c_long; B : const_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvxl (A, To_PTR (B))); end vec_ldl; -------------- -- vec_loge -- -------------- function vec_loge (A : vector_float) return vector_float is begin return To_LL_VF (vlogefp (To_LL_VF (A))); end vec_loge; -------------- -- vec_lvsl -- -------------- function vec_lvsl (A : c_long; B : constv_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_signed_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_unsigned_short_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_short_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_unsigned_int_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_int_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_unsigned_long_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_long_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; function vec_lvsl (A : c_long; B : constv_float_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsl (A, To_PTR (B))); end vec_lvsl; -------------- -- vec_lvsr -- -------------- function vec_lvsr (A : c_long; B : constv_unsigned_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_signed_char_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_unsigned_short_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_short_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_unsigned_int_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_int_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_unsigned_long_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_long_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; function vec_lvsr (A : c_long; B : constv_float_ptr) return vector_unsigned_char is begin return To_LL_VUC (lvsr (A, To_PTR (B))); end vec_lvsr; -------------- -- vec_madd -- -------------- function vec_madd (A : vector_float; B : vector_float; C : vector_float) return vector_float is begin return vmaddfp (A, B, C); end vec_madd; --------------- -- vec_madds -- --------------- function vec_madds (A : vector_signed_short; B : vector_signed_short; C : vector_signed_short) return vector_signed_short is begin return vmhaddshs (A, B, C); end vec_madds; ------------- -- vec_max -- ------------- function vec_max (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_max; function vec_max (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_max; function vec_max (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_max; function vec_max (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmaxfp (To_LL_VF (A), To_LL_VF (B))); end vec_max; ---------------- -- vec_vmaxfp -- ---------------- function vec_vmaxfp (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmaxfp (To_LL_VF (A), To_LL_VF (B))); end vec_vmaxfp; ---------------- -- vec_vmaxsw -- ---------------- function vec_vmaxsw (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxsw; function vec_vmaxsw (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxsw; function vec_vmaxsw (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmaxsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxsw; ---------------- -- vec_vmaxuw -- ---------------- function vec_vmaxuw (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxuw; function vec_vmaxuw (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxuw; function vec_vmaxuw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmaxuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmaxuw; ---------------- -- vec_vmaxsh -- ---------------- function vec_vmaxsh (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxsh; function vec_vmaxsh (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxsh; function vec_vmaxsh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmaxsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxsh; ---------------- -- vec_vmaxuh -- ---------------- function vec_vmaxuh (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxuh; function vec_vmaxuh (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxuh; function vec_vmaxuh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmaxuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmaxuh; ---------------- -- vec_vmaxsb -- ---------------- function vec_vmaxsb (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxsb; function vec_vmaxsb (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxsb; function vec_vmaxsb (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmaxsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxsb; ---------------- -- vec_vmaxub -- ---------------- function vec_vmaxub (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxub; function vec_vmaxub (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxub; function vec_vmaxub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmaxub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmaxub; ---------------- -- vec_mergeh -- ---------------- function vec_mergeh (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergeh; function vec_mergeh (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergeh; function vec_mergeh (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergeh; function vec_mergeh (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergeh; function vec_mergeh (A : vector_pixel; B : vector_pixel) return vector_pixel is begin return To_LL_VP (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergeh; function vec_mergeh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergeh; function vec_mergeh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergeh; function vec_mergeh (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergeh; function vec_mergeh (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergeh; function vec_mergeh (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergeh; function vec_mergeh (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergeh; ---------------- -- vec_vmrghw -- ---------------- function vec_vmrghw (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrghw; function vec_vmrghw (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrghw; function vec_vmrghw (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrghw; function vec_vmrghw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmrghw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrghw; ---------------- -- vec_vmrghh -- ---------------- function vec_vmrghh (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrghh; function vec_vmrghh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrghh; function vec_vmrghh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrghh; function vec_vmrghh (A : vector_pixel; B : vector_pixel) return vector_pixel is begin return To_LL_VP (vmrghh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrghh; ---------------- -- vec_vmrghb -- ---------------- function vec_vmrghb (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrghb; function vec_vmrghb (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrghb; function vec_vmrghb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmrghb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrghb; ---------------- -- vec_mergel -- ---------------- function vec_mergel (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergel; function vec_mergel (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergel; function vec_mergel (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mergel; function vec_mergel (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergel; function vec_mergel (A : vector_pixel; B : vector_pixel) return vector_pixel is begin return To_LL_VP (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergel; function vec_mergel (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergel; function vec_mergel (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mergel; function vec_mergel (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergel; function vec_mergel (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergel; function vec_mergel (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergel; function vec_mergel (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_mergel; ---------------- -- vec_vmrglw -- ---------------- function vec_vmrglw (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrglw; function vec_vmrglw (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrglw; function vec_vmrglw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrglw; function vec_vmrglw (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vmrglw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vmrglw; ---------------- -- vec_vmrglh -- ---------------- function vec_vmrglh (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrglh; function vec_vmrglh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrglh; function vec_vmrglh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrglh; function vec_vmrglh (A : vector_pixel; B : vector_pixel) return vector_pixel is begin return To_LL_VP (vmrglh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmrglh; ---------------- -- vec_vmrglb -- ---------------- function vec_vmrglb (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrglb; function vec_vmrglb (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrglb; function vec_vmrglb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vmrglb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmrglb; ---------------- -- vec_mfvscr -- ---------------- function vec_mfvscr return vector_unsigned_short is begin return To_LL_VUS (mfvscr); end vec_mfvscr; ------------- -- vec_min -- ------------- function vec_min (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_min; function vec_min (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_min; function vec_min (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_min; function vec_min (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vminfp (To_LL_VF (A), To_LL_VF (B))); end vec_min; -- vec_vminfp -- function vec_vminfp (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vminfp (To_LL_VF (A), To_LL_VF (B))); end vec_vminfp; -- vec_vminsw -- function vec_vminsw (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminsw; function vec_vminsw (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminsw; function vec_vminsw (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vminsw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminsw; -- vec_vminuw -- function vec_vminuw (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminuw; function vec_vminuw (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminuw; function vec_vminuw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vminuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vminuw; -- vec_vminsh -- function vec_vminsh (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminsh; function vec_vminsh (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminsh; function vec_vminsh (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vminsh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminsh; ---------------- -- vec_vminuh -- ---------------- function vec_vminuh (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminuh; function vec_vminuh (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminuh; function vec_vminuh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vminuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vminuh; ---------------- -- vec_vminsb -- ---------------- function vec_vminsb (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminsb; function vec_vminsb (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminsb; function vec_vminsb (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vminsb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminsb; ---------------- -- vec_vminub -- ---------------- function vec_vminub (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminub; function vec_vminub (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminub; function vec_vminub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vminub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vminub; --------------- -- vec_mladd -- --------------- function vec_mladd (A : vector_signed_short; B : vector_signed_short; C : vector_signed_short) return vector_signed_short is begin return vmladduhm (A, B, C); end vec_mladd; function vec_mladd (A : vector_signed_short; B : vector_unsigned_short; C : vector_unsigned_short) return vector_signed_short is begin return vmladduhm (A, To_LL_VSS (B), To_LL_VSS (C)); end vec_mladd; function vec_mladd (A : vector_unsigned_short; B : vector_signed_short; C : vector_signed_short) return vector_signed_short is begin return vmladduhm (To_LL_VSS (A), B, C); end vec_mladd; function vec_mladd (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vmladduhm (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSS (C))); end vec_mladd; ---------------- -- vec_mradds -- ---------------- function vec_mradds (A : vector_signed_short; B : vector_signed_short; C : vector_signed_short) return vector_signed_short is begin return vmhraddshs (A, B, C); end vec_mradds; -------------- -- vec_msum -- -------------- function vec_msum (A : vector_unsigned_char; B : vector_unsigned_char; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumubm (To_LL_VSC (A), To_LL_VSC (B), To_LL_VSI (C))); end vec_msum; function vec_msum (A : vector_signed_char; B : vector_unsigned_char; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsummbm (To_LL_VSC (A), To_LL_VSC (B), To_LL_VSI (C))); end vec_msum; function vec_msum (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumuhm (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_msum; function vec_msum (A : vector_signed_short; B : vector_signed_short; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsumshm (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_msum; ------------------ -- vec_vmsumshm -- ------------------ function vec_vmsumshm (A : vector_signed_short; B : vector_signed_short; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsumshm (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_vmsumshm; ------------------ -- vec_vmsumuhm -- ------------------ function vec_vmsumuhm (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumuhm (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_vmsumuhm; ------------------ -- vec_vmsummbm -- ------------------ function vec_vmsummbm (A : vector_signed_char; B : vector_unsigned_char; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsummbm (To_LL_VSC (A), To_LL_VSC (B), To_LL_VSI (C))); end vec_vmsummbm; ------------------ -- vec_vmsumubm -- ------------------ function vec_vmsumubm (A : vector_unsigned_char; B : vector_unsigned_char; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumubm (To_LL_VSC (A), To_LL_VSC (B), To_LL_VSI (C))); end vec_vmsumubm; --------------- -- vec_msums -- --------------- function vec_msums (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumuhs (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_msums; function vec_msums (A : vector_signed_short; B : vector_signed_short; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsumshs (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_msums; ------------------ -- vec_vmsumshs -- ------------------ function vec_vmsumshs (A : vector_signed_short; B : vector_signed_short; C : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vmsumshs (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_vmsumshs; ------------------ -- vec_vmsumuhs -- ------------------ function vec_vmsumuhs (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vmsumuhs (To_LL_VSS (A), To_LL_VSS (B), To_LL_VSI (C))); end vec_vmsumuhs; ---------------- -- vec_mtvscr -- ---------------- procedure vec_mtvscr (A : vector_signed_int) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_unsigned_int) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_bool_int) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_signed_short) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_unsigned_short) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_bool_short) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_pixel) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_signed_char) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_unsigned_char) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; procedure vec_mtvscr (A : vector_bool_char) is begin mtvscr (To_LL_VSI (A)); end vec_mtvscr; -------------- -- vec_mule -- -------------- function vec_mule (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vmuleub (To_LL_VSC (A), To_LL_VSC (B))); end vec_mule; function vec_mule (A : vector_signed_char; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vmulesb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mule; function vec_mule (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vmuleuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mule; function vec_mule (A : vector_signed_short; B : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vmulesh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mule; ----------------- -- vec_vmulesh -- ----------------- function vec_vmulesh (A : vector_signed_short; B : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vmulesh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmulesh; ----------------- -- vec_vmuleuh -- ----------------- function vec_vmuleuh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vmuleuh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmuleuh; ----------------- -- vec_vmulesb -- ----------------- function vec_vmulesb (A : vector_signed_char; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vmuleub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmulesb; ----------------- -- vec_vmuleub -- ----------------- function vec_vmuleub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vmuleub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmuleub; -------------- -- vec_mulo -- -------------- function vec_mulo (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vmuloub (To_LL_VSC (A), To_LL_VSC (B))); end vec_mulo; function vec_mulo (A : vector_signed_char; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vmulosb (To_LL_VSC (A), To_LL_VSC (B))); end vec_mulo; function vec_mulo (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vmulouh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mulo; function vec_mulo (A : vector_signed_short; B : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vmulosh (To_LL_VSS (A), To_LL_VSS (B))); end vec_mulo; ----------------- -- vec_vmulosh -- ----------------- function vec_vmulosh (A : vector_signed_short; B : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vmulosh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmulosh; ----------------- -- vec_vmulouh -- ----------------- function vec_vmulouh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vmulouh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vmulouh; ----------------- -- vec_vmulosb -- ----------------- function vec_vmulosb (A : vector_signed_char; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vmulosb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmulosb; ----------------- -- vec_vmuloub -- ----------------- function vec_vmuloub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vmuloub (To_LL_VSC (A), To_LL_VSC (B))); end vec_vmuloub; --------------- -- vec_nmsub -- --------------- function vec_nmsub (A : vector_float; B : vector_float; C : vector_float) return vector_float is begin return To_LL_VF (vnmsubfp (To_LL_VF (A), To_LL_VF (B), To_LL_VF (C))); end vec_nmsub; ------------- -- vec_nor -- ------------- function vec_nor (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; function vec_nor (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vnor (To_LL_VSI (A), To_LL_VSI (B))); end vec_nor; ------------ -- vec_or -- ------------ function vec_or (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_float; B : vector_bool_int) return vector_float is begin return To_LL_VF (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_int; B : vector_float) return vector_float is begin return To_LL_VF (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; function vec_or (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vor (To_LL_VSI (A), To_LL_VSI (B))); end vec_or; -------------- -- vec_pack -- -------------- function vec_pack (A : vector_signed_short; B : vector_signed_short) return vector_signed_char is begin return To_LL_VSC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_pack; function vec_pack (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_pack; function vec_pack (A : vector_bool_short; B : vector_bool_short) return vector_bool_char is begin return To_LL_VBC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_pack; function vec_pack (A : vector_signed_int; B : vector_signed_int) return vector_signed_short is begin return To_LL_VSS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_pack; function vec_pack (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_pack; function vec_pack (A : vector_bool_int; B : vector_bool_int) return vector_bool_short is begin return To_LL_VBS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_pack; ----------------- -- vec_vpkuwum -- ----------------- function vec_vpkuwum (A : vector_bool_int; B : vector_bool_int) return vector_bool_short is begin return To_LL_VBS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkuwum; function vec_vpkuwum (A : vector_signed_int; B : vector_signed_int) return vector_signed_short is begin return To_LL_VSS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkuwum; function vec_vpkuwum (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vpkuwum (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkuwum; ----------------- -- vec_vpkuhum -- ----------------- function vec_vpkuhum (A : vector_bool_short; B : vector_bool_short) return vector_bool_char is begin return To_LL_VBC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkuhum; function vec_vpkuhum (A : vector_signed_short; B : vector_signed_short) return vector_signed_char is begin return To_LL_VSC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkuhum; function vec_vpkuhum (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vpkuhum (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkuhum; ---------------- -- vec_packpx -- ---------------- function vec_packpx (A : vector_unsigned_int; B : vector_unsigned_int) return vector_pixel is begin return To_LL_VP (vpkpx (To_LL_VSI (A), To_LL_VSI (B))); end vec_packpx; --------------- -- vec_packs -- --------------- function vec_packs (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vpkuhus (To_LL_VSS (A), To_LL_VSS (B))); end vec_packs; function vec_packs (A : vector_signed_short; B : vector_signed_short) return vector_signed_char is begin return To_LL_VSC (vpkshss (To_LL_VSS (A), To_LL_VSS (B))); end vec_packs; function vec_packs (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vpkuwus (To_LL_VSI (A), To_LL_VSI (B))); end vec_packs; function vec_packs (A : vector_signed_int; B : vector_signed_int) return vector_signed_short is begin return To_LL_VSS (vpkswss (To_LL_VSI (A), To_LL_VSI (B))); end vec_packs; ----------------- -- vec_vpkswss -- ----------------- function vec_vpkswss (A : vector_signed_int; B : vector_signed_int) return vector_signed_short is begin return To_LL_VSS (vpkswss (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkswss; ----------------- -- vec_vpkuwus -- ----------------- function vec_vpkuwus (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vpkuwus (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkuwus; ----------------- -- vec_vpkshss -- ----------------- function vec_vpkshss (A : vector_signed_short; B : vector_signed_short) return vector_signed_char is begin return To_LL_VSC (vpkshss (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkshss; ----------------- -- vec_vpkuhus -- ----------------- function vec_vpkuhus (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vpkuhus (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkuhus; ---------------- -- vec_packsu -- ---------------- function vec_packsu (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vpkuhus (To_LL_VSS (A), To_LL_VSS (B))); end vec_packsu; function vec_packsu (A : vector_signed_short; B : vector_signed_short) return vector_unsigned_char is begin return To_LL_VUC (vpkshus (To_LL_VSS (A), To_LL_VSS (B))); end vec_packsu; function vec_packsu (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vpkuwus (To_LL_VSI (A), To_LL_VSI (B))); end vec_packsu; function vec_packsu (A : vector_signed_int; B : vector_signed_int) return vector_unsigned_short is begin return To_LL_VUS (vpkswus (To_LL_VSI (A), To_LL_VSI (B))); end vec_packsu; ----------------- -- vec_vpkswus -- ----------------- function vec_vpkswus (A : vector_signed_int; B : vector_signed_int) return vector_unsigned_short is begin return To_LL_VUS (vpkswus (To_LL_VSI (A), To_LL_VSI (B))); end vec_vpkswus; ----------------- -- vec_vpkshus -- ----------------- function vec_vpkshus (A : vector_signed_short; B : vector_signed_short) return vector_unsigned_char is begin return To_LL_VUC (vpkshus (To_LL_VSS (A), To_LL_VSS (B))); end vec_vpkshus; -------------- -- vec_perm -- -------------- function vec_perm (A : vector_float; B : vector_float; C : vector_unsigned_char) return vector_float is begin return To_LL_VF (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_signed_int; B : vector_signed_int; C : vector_unsigned_char) return vector_signed_int is begin return To_LL_VSI (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_unsigned_int; B : vector_unsigned_int; C : vector_unsigned_char) return vector_unsigned_int is begin return To_LL_VUI (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_bool_int; B : vector_bool_int; C : vector_unsigned_char) return vector_bool_int is begin return To_LL_VBI (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_signed_short; B : vector_signed_short; C : vector_unsigned_char) return vector_signed_short is begin return To_LL_VSS (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_bool_short; B : vector_bool_short; C : vector_unsigned_char) return vector_bool_short is begin return To_LL_VBS (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_pixel; B : vector_pixel; C : vector_unsigned_char) return vector_pixel is begin return To_LL_VP (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_signed_char; B : vector_signed_char; C : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_unsigned_char; B : vector_unsigned_char; C : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; function vec_perm (A : vector_bool_char; B : vector_bool_char; C : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vperm_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSC (C))); end vec_perm; ------------ -- vec_re -- ------------ function vec_re (A : vector_float) return vector_float is begin return To_LL_VF (vrefp (To_LL_VF (A))); end vec_re; ------------ -- vec_rl -- ------------ function vec_rl (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vrlb (To_LL_VSC (A), To_LL_VSC (B))); end vec_rl; function vec_rl (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vrlb (To_LL_VSC (A), To_LL_VSC (B))); end vec_rl; function vec_rl (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vrlh (To_LL_VSS (A), To_LL_VSS (B))); end vec_rl; function vec_rl (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vrlh (To_LL_VSS (A), To_LL_VSS (B))); end vec_rl; function vec_rl (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vrlw (To_LL_VSI (A), To_LL_VSI (B))); end vec_rl; function vec_rl (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vrlw (To_LL_VSI (A), To_LL_VSI (B))); end vec_rl; -------------- -- vec_vrlw -- -------------- function vec_vrlw (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vrlw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vrlw; function vec_vrlw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vrlw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vrlw; -------------- -- vec_vrlh -- -------------- function vec_vrlh (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vrlh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vrlh; function vec_vrlh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vrlh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vrlh; -------------- -- vec_vrlb -- -------------- function vec_vrlb (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vrlb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vrlb; function vec_vrlb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vrlb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vrlb; --------------- -- vec_round -- --------------- function vec_round (A : vector_float) return vector_float is begin return To_LL_VF (vrfin (To_LL_VF (A))); end vec_round; ---------------- -- vec_rsqrte -- ---------------- function vec_rsqrte (A : vector_float) return vector_float is begin return To_LL_VF (vrsqrtefp (To_LL_VF (A))); end vec_rsqrte; ------------- -- vec_sel -- ------------- function vec_sel (A : vector_float; B : vector_float; C : vector_bool_int) return vector_float is begin return To_LL_VF (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_float; B : vector_float; C : vector_unsigned_int) return vector_float is begin return To_LL_VF (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_int; B : vector_signed_int; C : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_int; B : vector_signed_int; C : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_int; B : vector_unsigned_int; C : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_int; B : vector_unsigned_int; C : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_int; B : vector_bool_int; C : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_int; B : vector_bool_int; C : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_short; B : vector_signed_short; C : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_short; B : vector_signed_short; C : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_short; B : vector_unsigned_short; C : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_short; B : vector_bool_short; C : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_short; B : vector_bool_short; C : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_char; B : vector_signed_char; C : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_signed_char; B : vector_signed_char; C : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_char; B : vector_unsigned_char; C : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_unsigned_char; B : vector_unsigned_char; C : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_char; B : vector_bool_char; C : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; function vec_sel (A : vector_bool_char; B : vector_bool_char; C : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vsel_4si (To_LL_VSI (A), To_LL_VSI (B), To_LL_VSI (C))); end vec_sel; ------------ -- vec_sl -- ------------ function vec_sl (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vslb (To_LL_VSC (A), To_LL_VSC (B))); end vec_sl; function vec_sl (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vslb (To_LL_VSC (A), To_LL_VSC (B))); end vec_sl; function vec_sl (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vslh (To_LL_VSS (A), To_LL_VSS (B))); end vec_sl; function vec_sl (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vslh (To_LL_VSS (A), To_LL_VSS (B))); end vec_sl; function vec_sl (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vslw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sl; function vec_sl (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vslw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sl; -------------- -- vec_vslw -- -------------- function vec_vslw (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vslw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vslw; function vec_vslw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vslw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vslw; -------------- -- vec_vslh -- -------------- function vec_vslh (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vslh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vslh; function vec_vslh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vslh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vslh; -------------- -- vec_vslb -- -------------- function vec_vslb (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vslb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vslb; function vec_vslb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vslb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vslb; ------------- -- vec_sll -- ------------- function vec_sll (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_int; B : vector_unsigned_short) return vector_signed_int is begin return To_LL_VSI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_int; B : vector_unsigned_char) return vector_signed_int is begin return To_LL_VSI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_int; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_int; B : vector_unsigned_char) return vector_unsigned_int is begin return To_LL_VUI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_int; B : vector_unsigned_short) return vector_bool_int is begin return To_LL_VBI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_int; B : vector_unsigned_char) return vector_bool_int is begin return To_LL_VBI (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_short; B : vector_unsigned_int) return vector_signed_short is begin return To_LL_VSS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_short; B : vector_unsigned_char) return vector_signed_short is begin return To_LL_VSS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_short; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_short; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_short; B : vector_unsigned_int) return vector_bool_short is begin return To_LL_VBS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_short; B : vector_unsigned_char) return vector_bool_short is begin return To_LL_VBS (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_pixel; B : vector_unsigned_int) return vector_pixel is begin return To_LL_VP (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_pixel; B : vector_unsigned_short) return vector_pixel is begin return To_LL_VP (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_pixel; B : vector_unsigned_char) return vector_pixel is begin return To_LL_VP (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_char; B : vector_unsigned_int) return vector_signed_char is begin return To_LL_VSC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_char; B : vector_unsigned_short) return vector_signed_char is begin return To_LL_VSC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_char; B : vector_unsigned_int) return vector_unsigned_char is begin return To_LL_VUC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_char; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_char; B : vector_unsigned_int) return vector_bool_char is begin return To_LL_VBC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_char; B : vector_unsigned_short) return vector_bool_char is begin return To_LL_VBC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; function vec_sll (A : vector_bool_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vsl (To_LL_VSI (A), To_LL_VSI (B))); end vec_sll; ------------- -- vec_slo -- ------------- function vec_slo (A : vector_float; B : vector_signed_char) return vector_float is begin return To_LL_VF (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_float; B : vector_unsigned_char) return vector_float is begin return To_LL_VF (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_int; B : vector_signed_char) return vector_signed_int is begin return To_LL_VSI (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_int; B : vector_unsigned_char) return vector_signed_int is begin return To_LL_VSI (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_int; B : vector_signed_char) return vector_unsigned_int is begin return To_LL_VUI (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_int; B : vector_unsigned_char) return vector_unsigned_int is begin return To_LL_VUI (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_short; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_short; B : vector_unsigned_char) return vector_signed_short is begin return To_LL_VSS (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_short; B : vector_signed_char) return vector_unsigned_short is begin return To_LL_VUS (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_short; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_pixel; B : vector_signed_char) return vector_pixel is begin return To_LL_VP (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_pixel; B : vector_unsigned_char) return vector_pixel is begin return To_LL_VP (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_char; B : vector_signed_char) return vector_unsigned_char is begin return To_LL_VUC (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; function vec_slo (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vslo (To_LL_VSI (A), To_LL_VSI (B))); end vec_slo; ------------ -- vec_sr -- ------------ function vec_sr (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsrb (To_LL_VSC (A), To_LL_VSC (B))); end vec_sr; function vec_sr (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsrb (To_LL_VSC (A), To_LL_VSC (B))); end vec_sr; function vec_sr (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsrh (To_LL_VSS (A), To_LL_VSS (B))); end vec_sr; function vec_sr (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsrh (To_LL_VSS (A), To_LL_VSS (B))); end vec_sr; function vec_sr (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsrw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sr; function vec_sr (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsrw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sr; -------------- -- vec_vsrw -- -------------- function vec_vsrw (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsrw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsrw; function vec_vsrw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsrw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsrw; -------------- -- vec_vsrh -- -------------- function vec_vsrh (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsrh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsrh; function vec_vsrh (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsrh (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsrh; -------------- -- vec_vsrb -- -------------- function vec_vsrb (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsrb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsrb; function vec_vsrb (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsrb (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsrb; ------------- -- vec_sra -- ------------- function vec_sra (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsrab (To_LL_VSC (A), To_LL_VSC (B))); end vec_sra; function vec_sra (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsrab (To_LL_VSC (A), To_LL_VSC (B))); end vec_sra; function vec_sra (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsrah (To_LL_VSS (A), To_LL_VSS (B))); end vec_sra; function vec_sra (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsrah (To_LL_VSS (A), To_LL_VSS (B))); end vec_sra; function vec_sra (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsraw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sra; function vec_sra (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsraw (To_LL_VSI (A), To_LL_VSI (B))); end vec_sra; --------------- -- vec_vsraw -- --------------- function vec_vsraw (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsraw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsraw; function vec_vsraw (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsraw (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsraw; --------------- -- vec_vsrah -- --------------- function vec_vsrah (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsrah (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsrah; function vec_vsrah (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsrah (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsrah; --------------- -- vec_vsrab -- --------------- function vec_vsrab (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsrab (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsrab; function vec_vsrab (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsrab (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsrab; ------------- -- vec_srl -- ------------- function vec_srl (A : vector_signed_int; B : vector_unsigned_int) return vector_signed_int is begin return To_LL_VSI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_int; B : vector_unsigned_short) return vector_signed_int is begin return To_LL_VSI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_int; B : vector_unsigned_char) return vector_signed_int is begin return To_LL_VSI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_int; B : vector_unsigned_short) return vector_unsigned_int is begin return To_LL_VUI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_int; B : vector_unsigned_char) return vector_unsigned_int is begin return To_LL_VUI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_int; B : vector_unsigned_int) return vector_bool_int is begin return To_LL_VBI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_int; B : vector_unsigned_short) return vector_bool_int is begin return To_LL_VBI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_int; B : vector_unsigned_char) return vector_bool_int is begin return To_LL_VBI (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_short; B : vector_unsigned_int) return vector_signed_short is begin return To_LL_VSS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_short; B : vector_unsigned_short) return vector_signed_short is begin return To_LL_VSS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_short; B : vector_unsigned_char) return vector_signed_short is begin return To_LL_VSS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_short; B : vector_unsigned_int) return vector_unsigned_short is begin return To_LL_VUS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_short; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_short; B : vector_unsigned_int) return vector_bool_short is begin return To_LL_VBS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_short; B : vector_unsigned_short) return vector_bool_short is begin return To_LL_VBS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_short; B : vector_unsigned_char) return vector_bool_short is begin return To_LL_VBS (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_pixel; B : vector_unsigned_int) return vector_pixel is begin return To_LL_VP (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_pixel; B : vector_unsigned_short) return vector_pixel is begin return To_LL_VP (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_pixel; B : vector_unsigned_char) return vector_pixel is begin return To_LL_VP (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_char; B : vector_unsigned_int) return vector_signed_char is begin return To_LL_VSC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_char; B : vector_unsigned_short) return vector_signed_char is begin return To_LL_VSC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_char; B : vector_unsigned_int) return vector_unsigned_char is begin return To_LL_VUC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_char; B : vector_unsigned_short) return vector_unsigned_char is begin return To_LL_VUC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_char; B : vector_unsigned_int) return vector_bool_char is begin return To_LL_VBC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_char; B : vector_unsigned_short) return vector_bool_char is begin return To_LL_VBC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; function vec_srl (A : vector_bool_char; B : vector_unsigned_char) return vector_bool_char is begin return To_LL_VBC (vsr (To_LL_VSI (A), To_LL_VSI (B))); end vec_srl; ------------- -- vec_sro -- ------------- function vec_sro (A : vector_float; B : vector_signed_char) return vector_float is begin return To_LL_VF (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_float; B : vector_unsigned_char) return vector_float is begin return To_LL_VF (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_int; B : vector_signed_char) return vector_signed_int is begin return To_LL_VSI (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_int; B : vector_unsigned_char) return vector_signed_int is begin return To_LL_VSI (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_int; B : vector_signed_char) return vector_unsigned_int is begin return To_LL_VUI (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_int; B : vector_unsigned_char) return vector_unsigned_int is begin return To_LL_VUI (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_short; B : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_short; B : vector_unsigned_char) return vector_signed_short is begin return To_LL_VSS (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_short; B : vector_signed_char) return vector_unsigned_short is begin return To_LL_VUS (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_short; B : vector_unsigned_char) return vector_unsigned_short is begin return To_LL_VUS (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_pixel; B : vector_signed_char) return vector_pixel is begin return To_LL_VP (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_pixel; B : vector_unsigned_char) return vector_pixel is begin return To_LL_VP (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_signed_char; B : vector_unsigned_char) return vector_signed_char is begin return To_LL_VSC (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_char; B : vector_signed_char) return vector_unsigned_char is begin return To_LL_VUC (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; function vec_sro (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsro (To_LL_VSI (A), To_LL_VSI (B))); end vec_sro; ------------ -- vec_st -- ------------ procedure vec_st (A : vector_float; B : c_int; C : vector_float_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_float; B : c_int; C : float_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_int; B : c_int; C : vector_signed_int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_int; B : c_int; C : int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_int; B : c_int; C : vector_unsigned_int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_int; B : c_int; C : unsigned_int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_int; B : c_int; C : vector_bool_int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_int; B : c_int; C : unsigned_int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_int; B : c_int; C : int_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_short; B : c_int; C : vector_signed_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_short; B : c_int; C : short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_short; B : c_int; C : vector_unsigned_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_short; B : c_int; C : unsigned_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_short; B : c_int; C : vector_bool_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_short; B : c_int; C : unsigned_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_pixel; B : c_int; C : vector_pixel_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_pixel; B : c_int; C : unsigned_short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_pixel; B : c_int; C : short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_short; B : c_int; C : short_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_char; B : c_int; C : vector_signed_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_signed_char; B : c_int; C : signed_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_char; B : c_int; C : vector_unsigned_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_unsigned_char; B : c_int; C : unsigned_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_char; B : c_int; C : vector_bool_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_char; B : c_int; C : unsigned_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; procedure vec_st (A : vector_bool_char; B : c_int; C : signed_char_ptr) is begin stvx (To_LL_VSI (A), B, To_PTR (C)); end vec_st; ------------- -- vec_ste -- ------------- procedure vec_ste (A : vector_signed_char; B : c_int; C : signed_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_unsigned_char; B : c_int; C : unsigned_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_char; B : c_int; C : signed_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_char; B : c_int; C : unsigned_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_signed_short; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_unsigned_short; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_short; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_short; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_pixel; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_pixel; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_float; B : c_int; C : float_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_signed_int; B : c_int; C : int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_unsigned_int; B : c_int; C : unsigned_int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_int; B : c_int; C : int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_ste; procedure vec_ste (A : vector_bool_int; B : c_int; C : unsigned_int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_ste; ---------------- -- vec_stvewx -- ---------------- procedure vec_stvewx (A : vector_float; B : c_int; C : float_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_stvewx; procedure vec_stvewx (A : vector_signed_int; B : c_int; C : int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_stvewx; procedure vec_stvewx (A : vector_unsigned_int; B : c_int; C : unsigned_int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_stvewx; procedure vec_stvewx (A : vector_bool_int; B : c_int; C : int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_stvewx; procedure vec_stvewx (A : vector_bool_int; B : c_int; C : unsigned_int_ptr) is begin stvewx (To_LL_VSI (A), B, To_PTR (C)); end vec_stvewx; ---------------- -- vec_stvehx -- ---------------- procedure vec_stvehx (A : vector_signed_short; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; procedure vec_stvehx (A : vector_unsigned_short; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; procedure vec_stvehx (A : vector_bool_short; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; procedure vec_stvehx (A : vector_bool_short; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; procedure vec_stvehx (A : vector_pixel; B : c_int; C : short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; procedure vec_stvehx (A : vector_pixel; B : c_int; C : unsigned_short_ptr) is begin stvehx (To_LL_VSS (A), B, To_PTR (C)); end vec_stvehx; ---------------- -- vec_stvebx -- ---------------- procedure vec_stvebx (A : vector_signed_char; B : c_int; C : signed_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_stvebx; procedure vec_stvebx (A : vector_unsigned_char; B : c_int; C : unsigned_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_stvebx; procedure vec_stvebx (A : vector_bool_char; B : c_int; C : signed_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_stvebx; procedure vec_stvebx (A : vector_bool_char; B : c_int; C : unsigned_char_ptr) is begin stvebx (To_LL_VSC (A), B, To_PTR (C)); end vec_stvebx; ------------- -- vec_stl -- ------------- procedure vec_stl (A : vector_float; B : c_int; C : vector_float_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_float; B : c_int; C : float_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_int; B : c_int; C : vector_signed_int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_int; B : c_int; C : int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_int; B : c_int; C : vector_unsigned_int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_int; B : c_int; C : unsigned_int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_int; B : c_int; C : vector_bool_int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_int; B : c_int; C : unsigned_int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_int; B : c_int; C : int_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_short; B : c_int; C : vector_signed_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_short; B : c_int; C : short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_short; B : c_int; C : vector_unsigned_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_short; B : c_int; C : unsigned_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_short; B : c_int; C : vector_bool_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_short; B : c_int; C : unsigned_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_short; B : c_int; C : short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_pixel; B : c_int; C : vector_pixel_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_pixel; B : c_int; C : unsigned_short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_pixel; B : c_int; C : short_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_char; B : c_int; C : vector_signed_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_signed_char; B : c_int; C : signed_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_char; B : c_int; C : vector_unsigned_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_unsigned_char; B : c_int; C : unsigned_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_char; B : c_int; C : vector_bool_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_char; B : c_int; C : unsigned_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; procedure vec_stl (A : vector_bool_char; B : c_int; C : signed_char_ptr) is begin stvxl (To_LL_VSI (A), B, To_PTR (C)); end vec_stl; ------------- -- vec_sub -- ------------- function vec_sub (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_sub; function vec_sub (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_sub; function vec_sub (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_sub; function vec_sub (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vsubfp (To_LL_VF (A), To_LL_VF (B))); end vec_sub; ---------------- -- vec_vsubfp -- ---------------- function vec_vsubfp (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vsubfp (To_LL_VF (A), To_LL_VF (B))); end vec_vsubfp; ----------------- -- vec_vsubuwm -- ----------------- function vec_vsubuwm (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; function vec_vsubuwm (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; function vec_vsubuwm (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; function vec_vsubuwm (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; function vec_vsubuwm (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; function vec_vsubuwm (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuwm (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuwm; ----------------- -- vec_vsubuhm -- ----------------- function vec_vsubuhm (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; function vec_vsubuhm (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; function vec_vsubuhm (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; function vec_vsubuhm (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; function vec_vsubuhm (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; function vec_vsubuhm (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhm (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhm; ----------------- -- vec_vsububm -- ----------------- function vec_vsububm (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; function vec_vsububm (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; function vec_vsububm (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; function vec_vsububm (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; function vec_vsububm (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; function vec_vsububm (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububm (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububm; -------------- -- vec_subc -- -------------- function vec_subc (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubcuw (To_LL_VSI (A), To_LL_VSI (B))); end vec_subc; -------------- -- vec_subs -- -------------- function vec_subs (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_subs; function vec_subs (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_subs; function vec_subs (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; function vec_subs (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; function vec_subs (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; function vec_subs (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; function vec_subs (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; function vec_subs (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_subs; ----------------- -- vec_vsubsws -- ----------------- function vec_vsubsws (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubsws; function vec_vsubsws (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubsws; function vec_vsubsws (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsubsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubsws; ----------------- -- vec_vsubuws -- ----------------- function vec_vsubuws (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuws; function vec_vsubuws (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuws; function vec_vsubuws (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsubuws (To_LL_VSI (A), To_LL_VSI (B))); end vec_vsubuws; ----------------- -- vec_vsubshs -- ----------------- function vec_vsubshs (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubshs; function vec_vsubshs (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubshs; function vec_vsubshs (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vsubshs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubshs; ----------------- -- vec_vsubuhs -- ----------------- function vec_vsubuhs (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhs; function vec_vsubuhs (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhs; function vec_vsubuhs (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vsubuhs (To_LL_VSS (A), To_LL_VSS (B))); end vec_vsubuhs; ----------------- -- vec_vsubsbs -- ----------------- function vec_vsubsbs (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsubsbs; function vec_vsubsbs (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsubsbs; function vec_vsubsbs (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vsubsbs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsubsbs; ----------------- -- vec_vsububs -- ----------------- function vec_vsububs (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububs; function vec_vsububs (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububs; function vec_vsububs (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vsububs (To_LL_VSC (A), To_LL_VSC (B))); end vec_vsububs; --------------- -- vec_sum4s -- --------------- function vec_sum4s (A : vector_unsigned_char; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsum4ubs (To_LL_VSC (A), To_LL_VSI (B))); end vec_sum4s; function vec_sum4s (A : vector_signed_char; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsum4sbs (To_LL_VSC (A), To_LL_VSI (B))); end vec_sum4s; function vec_sum4s (A : vector_signed_short; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsum4shs (To_LL_VSS (A), To_LL_VSI (B))); end vec_sum4s; ------------------ -- vec_vsum4shs -- ------------------ function vec_vsum4shs (A : vector_signed_short; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsum4shs (To_LL_VSS (A), To_LL_VSI (B))); end vec_vsum4shs; ------------------ -- vec_vsum4sbs -- ------------------ function vec_vsum4sbs (A : vector_signed_char; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsum4sbs (To_LL_VSC (A), To_LL_VSI (B))); end vec_vsum4sbs; ------------------ -- vec_vsum4ubs -- ------------------ function vec_vsum4ubs (A : vector_unsigned_char; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vsum4ubs (To_LL_VSC (A), To_LL_VSI (B))); end vec_vsum4ubs; --------------- -- vec_sum2s -- --------------- function vec_sum2s (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsum2sws (To_LL_VSI (A), To_LL_VSI (B))); end vec_sum2s; -------------- -- vec_sums -- -------------- function vec_sums (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vsumsws (To_LL_VSI (A), To_LL_VSI (B))); end vec_sums; --------------- -- vec_trunc -- --------------- function vec_trunc (A : vector_float) return vector_float is begin return To_LL_VF (vrfiz (To_LL_VF (A))); end vec_trunc; ----------------- -- vec_unpackh -- ----------------- function vec_unpackh (A : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vupkhsb (To_LL_VSC (A))); end vec_unpackh; function vec_unpackh (A : vector_bool_char) return vector_bool_short is begin return To_LL_VBS (vupkhsb (To_LL_VSC (A))); end vec_unpackh; function vec_unpackh (A : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vupkhsh (To_LL_VSS (A))); end vec_unpackh; function vec_unpackh (A : vector_bool_short) return vector_bool_int is begin return To_LL_VBI (vupkhsh (To_LL_VSS (A))); end vec_unpackh; function vec_unpackh (A : vector_pixel) return vector_unsigned_int is begin return To_LL_VUI (vupkhpx (To_LL_VSS (A))); end vec_unpackh; ----------------- -- vec_vupkhsh -- ----------------- function vec_vupkhsh (A : vector_bool_short) return vector_bool_int is begin return To_LL_VBI (vupkhsh (To_LL_VSS (A))); end vec_vupkhsh; function vec_vupkhsh (A : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vupkhsh (To_LL_VSS (A))); end vec_vupkhsh; ----------------- -- vec_vupkhpx -- ----------------- function vec_vupkhpx (A : vector_pixel) return vector_unsigned_int is begin return To_LL_VUI (vupkhpx (To_LL_VSS (A))); end vec_vupkhpx; ----------------- -- vec_vupkhsb -- ----------------- function vec_vupkhsb (A : vector_bool_char) return vector_bool_short is begin return To_LL_VBS (vupkhsb (To_LL_VSC (A))); end vec_vupkhsb; function vec_vupkhsb (A : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vupkhsb (To_LL_VSC (A))); end vec_vupkhsb; ----------------- -- vec_unpackl -- ----------------- function vec_unpackl (A : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vupklsb (To_LL_VSC (A))); end vec_unpackl; function vec_unpackl (A : vector_bool_char) return vector_bool_short is begin return To_LL_VBS (vupklsb (To_LL_VSC (A))); end vec_unpackl; function vec_unpackl (A : vector_pixel) return vector_unsigned_int is begin return To_LL_VUI (vupklpx (To_LL_VSS (A))); end vec_unpackl; function vec_unpackl (A : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vupklsh (To_LL_VSS (A))); end vec_unpackl; function vec_unpackl (A : vector_bool_short) return vector_bool_int is begin return To_LL_VBI (vupklsh (To_LL_VSS (A))); end vec_unpackl; ----------------- -- vec_vupklpx -- ----------------- function vec_vupklpx (A : vector_pixel) return vector_unsigned_int is begin return To_LL_VUI (vupklpx (To_LL_VSS (A))); end vec_vupklpx; ----------------- -- vec_vupklsh -- ----------------- function vec_vupklsh (A : vector_bool_short) return vector_bool_int is begin return To_LL_VBI (vupklsh (To_LL_VSS (A))); end vec_vupklsh; function vec_vupklsh (A : vector_signed_short) return vector_signed_int is begin return To_LL_VSI (vupklsh (To_LL_VSS (A))); end vec_vupklsh; ----------------- -- vec_vupklsb -- ----------------- function vec_vupklsb (A : vector_bool_char) return vector_bool_short is begin return To_LL_VBS (vupklsb (To_LL_VSC (A))); end vec_vupklsb; function vec_vupklsb (A : vector_signed_char) return vector_signed_short is begin return To_LL_VSS (vupklsb (To_LL_VSC (A))); end vec_vupklsb; ------------- -- vec_xor -- ------------- function vec_xor (A : vector_float; B : vector_float) return vector_float is begin return To_LL_VF (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_float; B : vector_bool_int) return vector_float is begin return To_LL_VF (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_int; B : vector_float) return vector_float is begin return To_LL_VF (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_int; B : vector_bool_int) return vector_bool_int is begin return To_LL_VBI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_int; B : vector_bool_int) return vector_signed_int is begin return To_LL_VSI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_int; B : vector_signed_int) return vector_signed_int is begin return To_LL_VSI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_int; B : vector_bool_int) return vector_unsigned_int is begin return To_LL_VUI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_int; B : vector_unsigned_int) return vector_unsigned_int is begin return To_LL_VUI (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_short; B : vector_bool_short) return vector_bool_short is begin return To_LL_VBS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_short; B : vector_bool_short) return vector_signed_short is begin return To_LL_VSS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_short; B : vector_signed_short) return vector_signed_short is begin return To_LL_VSS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_short; B : vector_bool_short) return vector_unsigned_short is begin return To_LL_VUS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_short; B : vector_unsigned_short) return vector_unsigned_short is begin return To_LL_VUS (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_char; B : vector_bool_char) return vector_bool_char is begin return To_LL_VBC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_char; B : vector_bool_char) return vector_signed_char is begin return To_LL_VSC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_signed_char; B : vector_signed_char) return vector_signed_char is begin return To_LL_VSC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_bool_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_char; B : vector_bool_char) return vector_unsigned_char is begin return To_LL_VUC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; function vec_xor (A : vector_unsigned_char; B : vector_unsigned_char) return vector_unsigned_char is begin return To_LL_VUC (vxor (To_LL_VSI (A), To_LL_VSI (B))); end vec_xor; ------------- -- vec_dst -- ------------- procedure vec_dst (A : const_vector_unsigned_char_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_signed_char_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_bool_char_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_unsigned_short_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_signed_short_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_bool_short_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_pixel_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_unsigned_int_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_signed_int_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_bool_int_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_vector_float_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_unsigned_char_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_signed_char_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_unsigned_short_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_short_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_unsigned_int_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_int_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_unsigned_long_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_long_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; procedure vec_dst (A : const_float_ptr; B : c_int; C : c_int) is begin dst (To_PTR (A), B, C); end vec_dst; -------------- -- vec_dstt -- -------------- procedure vec_dstt (A : const_vector_unsigned_char_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_signed_char_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_bool_char_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_unsigned_short_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_signed_short_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_bool_short_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_pixel_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_unsigned_int_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_signed_int_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_bool_int_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_vector_float_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_unsigned_char_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_signed_char_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_unsigned_short_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_short_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_unsigned_int_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_int_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_unsigned_long_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_long_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; procedure vec_dstt (A : const_float_ptr; B : c_int; C : c_int) is begin dstt (To_PTR (A), B, C); end vec_dstt; --------------- -- vec_dstst -- --------------- procedure vec_dstst (A : const_vector_unsigned_char_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_signed_char_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_bool_char_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_unsigned_short_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_signed_short_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_bool_short_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_pixel_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_unsigned_int_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_signed_int_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_bool_int_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_vector_float_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_unsigned_char_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_signed_char_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_unsigned_short_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_short_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_unsigned_int_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_int_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_unsigned_long_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_long_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; procedure vec_dstst (A : const_float_ptr; B : c_int; C : c_int) is begin dstst (To_PTR (A), B, C); end vec_dstst; ---------------- -- vec_dststt -- ---------------- procedure vec_dststt (A : const_vector_unsigned_char_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_signed_char_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_bool_char_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_unsigned_short_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_signed_short_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_bool_short_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_pixel_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_unsigned_int_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_signed_int_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_bool_int_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_vector_float_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_unsigned_char_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_signed_char_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_unsigned_short_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_short_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_unsigned_int_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_int_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_unsigned_long_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_long_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; procedure vec_dststt (A : const_float_ptr; B : c_int; C : c_int) is begin dststt (To_PTR (A), B, C); end vec_dststt; ---------------- -- vec_vspltw -- ---------------- function vec_vspltw (A : vector_float; B : c_int) return vector_float is begin return To_LL_VF (vspltw (To_LL_VSI (A), B)); end vec_vspltw; function vec_vspltw (A : vector_unsigned_int; B : c_int) return vector_unsigned_int is begin return To_LL_VUI (vspltw (To_LL_VSI (A), B)); end vec_vspltw; function vec_vspltw (A : vector_bool_int; B : c_int) return vector_bool_int is begin return To_LL_VBI (vspltw (To_LL_VSI (A), B)); end vec_vspltw; ---------------- -- vec_vsplth -- ---------------- function vec_vsplth (A : vector_bool_short; B : c_int) return vector_bool_short is begin return To_LL_VBS (vsplth (To_LL_VSS (A), B)); end vec_vsplth; function vec_vsplth (A : vector_unsigned_short; B : c_int) return vector_unsigned_short is begin return To_LL_VUS (vsplth (To_LL_VSS (A), B)); end vec_vsplth; function vec_vsplth (A : vector_pixel; B : c_int) return vector_pixel is begin return To_LL_VP (vsplth (To_LL_VSS (A), B)); end vec_vsplth; ---------------- -- vec_vspltb -- ---------------- function vec_vspltb (A : vector_unsigned_char; B : c_int) return vector_unsigned_char is begin return To_LL_VUC (vspltb (To_LL_VSC (A), B)); end vec_vspltb; function vec_vspltb (A : vector_bool_char; B : c_int) return vector_bool_char is begin return To_LL_VBC (vspltb (To_LL_VSC (A), B)); end vec_vspltb; ------------------ -- vec_splat_u8 -- ------------------ function vec_splat_u8 (A : c_int) return vector_unsigned_char is begin return To_LL_VUC (vspltisb (A)); end vec_splat_u8; ------------------- -- vec_splat_u16 -- ------------------- function vec_splat_u16 (A : c_int) return vector_unsigned_short is begin return To_LL_VUS (vspltish (A)); end vec_splat_u16; ------------------- -- vec_splat_u32 -- ------------------- function vec_splat_u32 (A : c_int) return vector_unsigned_int is begin return To_LL_VUI (vspltisw (A)); end vec_splat_u32; ------------- -- vec_sld -- ------------- function vec_sld (A : vector_unsigned_int; B : vector_unsigned_int; C : c_int) return vector_unsigned_int is begin return To_LL_VUI (vsldoi_4si (To_LL_VSI (A), To_LL_VSI (B), C)); end vec_sld; function vec_sld (A : vector_bool_int; B : vector_bool_int; C : c_int) return vector_bool_int is begin return To_LL_VBI (vsldoi_4si (To_LL_VSI (A), To_LL_VSI (B), C)); end vec_sld; function vec_sld (A : vector_unsigned_short; B : vector_unsigned_short; C : c_int) return vector_unsigned_short is begin return To_LL_VUS (vsldoi_8hi (To_LL_VSS (A), To_LL_VSS (B), C)); end vec_sld; function vec_sld (A : vector_bool_short; B : vector_bool_short; C : c_int) return vector_bool_short is begin return To_LL_VBS (vsldoi_8hi (To_LL_VSS (A), To_LL_VSS (B), C)); end vec_sld; function vec_sld (A : vector_pixel; B : vector_pixel; C : c_int) return vector_pixel is begin return To_LL_VP (vsldoi_8hi (To_LL_VSS (A), To_LL_VSS (B), C)); end vec_sld; function vec_sld (A : vector_unsigned_char; B : vector_unsigned_char; C : c_int) return vector_unsigned_char is begin return To_LL_VUC (vsldoi_16qi (To_LL_VSC (A), To_LL_VSC (B), C)); end vec_sld; function vec_sld (A : vector_bool_char; B : vector_bool_char; C : c_int) return vector_bool_char is begin return To_LL_VBC (vsldoi_16qi (To_LL_VSC (A), To_LL_VSC (B), C)); end vec_sld; ---------------- -- vec_all_eq -- ---------------- function vec_all_eq (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_eq; function vec_all_eq (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_pixel; B : vector_pixel) return c_int is begin return vcmpequh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_eq; function vec_all_eq (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_eq; function vec_all_eq (A : vector_float; B : vector_float) return c_int is begin return vcmpeqfp_p (CR6_LT, To_LL_VF (A), To_LL_VF (B)); end vec_all_eq; ---------------- -- vec_all_ge -- ---------------- function vec_all_ge (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_ge; function vec_all_ge (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_ge; function vec_all_ge (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_ge; function vec_all_ge (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_LT, To_LL_VF (A), To_LL_VF (B)); end vec_all_ge; ---------------- -- vec_all_gt -- ---------------- function vec_all_gt (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_gt; function vec_all_gt (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_gt; function vec_all_gt (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_gt; function vec_all_gt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_LT, To_LL_VF (A), To_LL_VF (B)); end vec_all_gt; ---------------- -- vec_all_in -- ---------------- function vec_all_in (A : vector_float; B : vector_float) return c_int is begin return vcmpbfp_p (CR6_EQ, To_LL_VF (A), To_LL_VF (B)); end vec_all_in; ---------------- -- vec_all_le -- ---------------- function vec_all_le (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_le; function vec_all_le (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_le; function vec_all_le (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_le; function vec_all_le (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_LT, To_LL_VF (B), To_LL_VF (A)); end vec_all_le; ---------------- -- vec_all_lt -- ---------------- function vec_all_lt (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT, To_LL_VSC (B), To_LL_VSC (A)); end vec_all_lt; function vec_all_lt (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT, To_LL_VSS (B), To_LL_VSS (A)); end vec_all_lt; function vec_all_lt (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT, To_LL_VSI (B), To_LL_VSI (A)); end vec_all_lt; function vec_all_lt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_LT, To_LL_VF (B), To_LL_VF (A)); end vec_all_lt; ----------------- -- vec_all_nan -- ----------------- function vec_all_nan (A : vector_float) return c_int is begin return vcmpeqfp_p (CR6_EQ, To_LL_VF (A), To_LL_VF (A)); end vec_all_nan; ---------------- -- vec_all_ne -- ---------------- function vec_all_ne (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_EQ, To_LL_VSC (A), To_LL_VSC (B)); end vec_all_ne; function vec_all_ne (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_pixel; B : vector_pixel) return c_int is begin return vcmpequh_p (CR6_EQ, To_LL_VSS (A), To_LL_VSS (B)); end vec_all_ne; function vec_all_ne (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_EQ, To_LL_VSI (A), To_LL_VSI (B)); end vec_all_ne; function vec_all_ne (A : vector_float; B : vector_float) return c_int is begin return vcmpeqfp_p (CR6_EQ, To_LL_VF (A), To_LL_VF (B)); end vec_all_ne; ----------------- -- vec_all_nge -- ----------------- function vec_all_nge (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_EQ, To_LL_VF (A), To_LL_VF (B)); end vec_all_nge; ----------------- -- vec_all_ngt -- ----------------- function vec_all_ngt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_EQ, To_LL_VF (A), To_LL_VF (B)); end vec_all_ngt; ----------------- -- vec_all_nle -- ----------------- function vec_all_nle (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_EQ, To_LL_VF (B), To_LL_VF (A)); end vec_all_nle; ----------------- -- vec_all_nlt -- ----------------- function vec_all_nlt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_EQ, To_LL_VF (B), To_LL_VF (A)); end vec_all_nlt; --------------------- -- vec_all_numeric -- --------------------- function vec_all_numeric (A : vector_float) return c_int is begin return vcmpeqfp_p (CR6_LT, To_LL_VF (A), To_LL_VF (A)); end vec_all_numeric; ---------------- -- vec_any_eq -- ---------------- function vec_any_eq (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_eq; function vec_any_eq (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_pixel; B : vector_pixel) return c_int is begin return vcmpequh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_eq; function vec_any_eq (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_eq; function vec_any_eq (A : vector_float; B : vector_float) return c_int is begin return vcmpeqfp_p (CR6_EQ_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_eq; ---------------- -- vec_any_ge -- ---------------- function vec_any_ge (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_ge; function vec_any_ge (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_ge; function vec_any_ge (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_EQ_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_ge; ---------------- -- vec_any_gt -- ---------------- function vec_any_gt (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_gt; function vec_any_gt (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_gt; function vec_any_gt (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_gt; function vec_any_gt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_EQ_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_gt; ---------------- -- vec_any_le -- ---------------- function vec_any_le (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_le; function vec_any_le (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_le; function vec_any_le (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_le; function vec_any_le (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_EQ_REV, To_LL_VF (B), To_LL_VF (A)); end vec_any_le; ---------------- -- vec_any_lt -- ---------------- function vec_any_lt (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpgtub_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpgtsb_p (CR6_EQ_REV, To_LL_VSC (B), To_LL_VSC (A)); end vec_any_lt; function vec_any_lt (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpgtuh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpgtsh_p (CR6_EQ_REV, To_LL_VSS (B), To_LL_VSS (A)); end vec_any_lt; function vec_any_lt (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpgtuw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpgtsw_p (CR6_EQ_REV, To_LL_VSI (B), To_LL_VSI (A)); end vec_any_lt; function vec_any_lt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_EQ_REV, To_LL_VF (B), To_LL_VF (A)); end vec_any_lt; ----------------- -- vec_any_nan -- ----------------- function vec_any_nan (A : vector_float) return c_int is begin return vcmpeqfp_p (CR6_LT_REV, To_LL_VF (A), To_LL_VF (A)); end vec_any_nan; ---------------- -- vec_any_ne -- ---------------- function vec_any_ne (A : vector_signed_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_signed_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_char; B : vector_bool_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_char; B : vector_unsigned_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_char; B : vector_signed_char) return c_int is begin return vcmpequb_p (CR6_LT_REV, To_LL_VSC (A), To_LL_VSC (B)); end vec_any_ne; function vec_any_ne (A : vector_signed_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_signed_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_short; B : vector_bool_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_short; B : vector_unsigned_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_short; B : vector_signed_short) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_pixel; B : vector_pixel) return c_int is begin return vcmpequh_p (CR6_LT_REV, To_LL_VSS (A), To_LL_VSS (B)); end vec_any_ne; function vec_any_ne (A : vector_signed_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_signed_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_unsigned_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_int; B : vector_bool_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_int; B : vector_unsigned_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_bool_int; B : vector_signed_int) return c_int is begin return vcmpequw_p (CR6_LT_REV, To_LL_VSI (A), To_LL_VSI (B)); end vec_any_ne; function vec_any_ne (A : vector_float; B : vector_float) return c_int is begin return vcmpeqfp_p (CR6_LT_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_ne; ----------------- -- vec_any_nge -- ----------------- function vec_any_nge (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_LT_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_nge; ----------------- -- vec_any_ngt -- ----------------- function vec_any_ngt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_LT_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_ngt; ----------------- -- vec_any_nle -- ----------------- function vec_any_nle (A : vector_float; B : vector_float) return c_int is begin return vcmpgefp_p (CR6_LT_REV, To_LL_VF (B), To_LL_VF (A)); end vec_any_nle; ----------------- -- vec_any_nlt -- ----------------- function vec_any_nlt (A : vector_float; B : vector_float) return c_int is begin return vcmpgtfp_p (CR6_LT_REV, To_LL_VF (B), To_LL_VF (A)); end vec_any_nlt; --------------------- -- vec_any_numeric -- --------------------- function vec_any_numeric (A : vector_float) return c_int is begin return vcmpeqfp_p (CR6_EQ_REV, To_LL_VF (A), To_LL_VF (A)); end vec_any_numeric; ----------------- -- vec_any_out -- ----------------- function vec_any_out (A : vector_float; B : vector_float) return c_int is begin return vcmpbfp_p (CR6_EQ_REV, To_LL_VF (A), To_LL_VF (B)); end vec_any_out; -------------- -- vec_step -- -------------- function vec_step (V : vector_unsigned_char) return Integer is pragma Unreferenced (V); begin return 16; end vec_step; function vec_step (V : vector_signed_char) return Integer is pragma Unreferenced (V); begin return 16; end vec_step; function vec_step (V : vector_bool_char) return Integer is pragma Unreferenced (V); begin return 16; end vec_step; function vec_step (V : vector_unsigned_short) return Integer is pragma Unreferenced (V); begin return 8; end vec_step; function vec_step (V : vector_signed_short) return Integer is pragma Unreferenced (V); begin return 8; end vec_step; function vec_step (V : vector_bool_short) return Integer is pragma Unreferenced (V); begin return 8; end vec_step; function vec_step (V : vector_unsigned_int) return Integer is pragma Unreferenced (V); begin return 4; end vec_step; function vec_step (V : vector_signed_int) return Integer is pragma Unreferenced (V); begin return 4; end vec_step; function vec_step (V : vector_bool_int) return Integer is pragma Unreferenced (V); begin return 4; end vec_step; function vec_step (V : vector_float) return Integer is pragma Unreferenced (V); begin return 4; end vec_step; function vec_step (V : vector_pixel) return Integer is pragma Unreferenced (V); begin return 4; end vec_step; end GNAT.Altivec.Vector_Operations;
Ada
256,401
adb
null
23.290126
79
0.62632
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- ADA.NUMERICS.GENERIC_ELEMENTARY_FUNCTIONS -- -- -- -- S p e c -- -- -- -- Copyright (C) 2012-2019, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the Post aspects that have been added to the spec. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ generic type Float_Type is digits <>; package Ada.Numerics.Generic_Elementary_Functions with SPARK_Mode => On is pragma Pure; -- Preconditions in this unit are meant for analysis only, not for run-time -- checking, so that the expected exceptions are raised when calling -- Assert. This is enforced by setting the corresponding assertion policy -- to Ignore. This is done in the generic spec so that it applies to all -- instances. pragma Assertion_Policy (Pre => Ignore); function Sqrt (X : Float_Type'Base) return Float_Type'Base with Pre => X >= 0.0, Post => Sqrt'Result >= 0.0 and then (if X = 0.0 then Sqrt'Result = 0.0) and then (if X = 1.0 then Sqrt'Result = 1.0) -- Finally if X is positive, the result of Sqrt is positive (because -- the sqrt of numbers greater than 1 is greater than or equal to 1, -- and the sqrt of numbers less than 1 is greater than the argument). -- This property is useful in particular for static analysis. The -- property that X is positive is not expressed as (X > 0.0), as -- the value X may be held in registers that have larger range and -- precision on some architecture (for example, on x86 using x387 -- FPU, as opposed to SSE2). So, it might be possible for X to be -- 2.0**(-5000) or so, which could cause the number to compare as -- greater than 0, but Sqrt would still return a zero result. -- Note: we use the comparison with Succ (0.0) here because this is -- more amenable to CodePeer analysis than the use of 'Machine. and then (if X >= Float_Type'Succ (0.0) then Sqrt'Result > 0.0); function Log (X : Float_Type'Base) return Float_Type'Base with Pre => X > 0.0, Post => (if X = 1.0 then Log'Result = 0.0); function Log (X, Base : Float_Type'Base) return Float_Type'Base with Pre => X > 0.0 and Base > 0.0 and Base /= 1.0, Post => (if X = 1.0 then Log'Result = 0.0); function Exp (X : Float_Type'Base) return Float_Type'Base with Post => (if X = 0.0 then Exp'Result = 1.0); function "**" (Left, Right : Float_Type'Base) return Float_Type'Base with Pre => (if Left = 0.0 then Right > 0.0) and Left >= 0.0, Post => "**"'Result >= 0.0 and then (if Right = 0.0 then "**"'Result = 1.0) and then (if Right = 1.0 then "**"'Result = Left) and then (if Left = 1.0 then "**"'Result = 1.0) and then (if Left = 0.0 then "**"'Result = 0.0); function Sin (X : Float_Type'Base) return Float_Type'Base with Post => Sin'Result in -1.0 .. 1.0 and then (if X = 0.0 then Sin'Result = 0.0); function Sin (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0, Post => Sin'Result in -1.0 .. 1.0 and then (if X = 0.0 then Sin'Result = 0.0); function Cos (X : Float_Type'Base) return Float_Type'Base with Post => Cos'Result in -1.0 .. 1.0 and then (if X = 0.0 then Cos'Result = 1.0); function Cos (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0, Post => Cos'Result in -1.0 .. 1.0 and then (if X = 0.0 then Cos'Result = 1.0); function Tan (X : Float_Type'Base) return Float_Type'Base with Post => (if X = 0.0 then Tan'Result = 0.0); function Tan (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and then abs Float_Type'Base'Remainder (X, Cycle) /= 0.25 * Cycle, Post => (if X = 0.0 then Tan'Result = 0.0); function Cot (X : Float_Type'Base) return Float_Type'Base with Pre => X /= 0.0; function Cot (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and then X /= 0.0 and then Float_Type'Base'Remainder (X, Cycle) /= 0.0 and then abs Float_Type'Base'Remainder (X, Cycle) = 0.5 * Cycle; function Arcsin (X : Float_Type'Base) return Float_Type'Base with Pre => abs X <= 1.0, Post => (if X = 0.0 then Arcsin'Result = 0.0); function Arcsin (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and abs X <= 1.0, Post => (if X = 0.0 then Arcsin'Result = 0.0); function Arccos (X : Float_Type'Base) return Float_Type'Base with Pre => abs X <= 1.0, Post => (if X = 1.0 then Arccos'Result = 0.0); function Arccos (X, Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and abs X <= 1.0, Post => (if X = 1.0 then Arccos'Result = 0.0); function Arctan (Y : Float_Type'Base; X : Float_Type'Base := 1.0) return Float_Type'Base with Pre => X /= 0.0 or Y /= 0.0, Post => (if X > 0.0 and then Y = 0.0 then Arctan'Result = 0.0); function Arctan (Y : Float_Type'Base; X : Float_Type'Base := 1.0; Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and (X /= 0.0 or Y /= 0.0), Post => (if X > 0.0 and then Y = 0.0 then Arctan'Result = 0.0); function Arccot (X : Float_Type'Base; Y : Float_Type'Base := 1.0) return Float_Type'Base with Pre => X /= 0.0 or Y /= 0.0, Post => (if X > 0.0 and then Y = 0.0 then Arccot'Result = 0.0); function Arccot (X : Float_Type'Base; Y : Float_Type'Base := 1.0; Cycle : Float_Type'Base) return Float_Type'Base with Pre => Cycle > 0.0 and (X /= 0.0 or Y /= 0.0), Post => (if X > 0.0 and then Y = 0.0 then Arccot'Result = 0.0); function Sinh (X : Float_Type'Base) return Float_Type'Base with Post => (if X = 0.0 then Sinh'Result = 0.0); function Cosh (X : Float_Type'Base) return Float_Type'Base with Post => Cosh'Result >= 1.0 and then (if X = 0.0 then Cosh'Result = 1.0); function Tanh (X : Float_Type'Base) return Float_Type'Base with Post => Tanh'Result in -1.0 .. 1.0 and then (if X = 0.0 then Tanh'Result = 0.0); function Coth (X : Float_Type'Base) return Float_Type'Base with Pre => X /= 0.0, Post => abs Coth'Result >= 1.0; function Arcsinh (X : Float_Type'Base) return Float_Type'Base with Post => (if X = 0.0 then Arcsinh'Result = 0.0); function Arccosh (X : Float_Type'Base) return Float_Type'Base with Pre => X >= 1.0, Post => Arccosh'Result >= 0.0 and then (if X = 1.0 then Arccosh'Result = 0.0); function Arctanh (X : Float_Type'Base) return Float_Type'Base with Pre => abs X < 1.0, Post => (if X = 0.0 then Arctanh'Result = 0.0); function Arccoth (X : Float_Type'Base) return Float_Type'Base with Pre => abs X > 1.0; end Ada.Numerics.Generic_Elementary_Functions;
Ada
9,386
ads
null
45.563107
79
0.548476
------------------------------------------------------------------------------ -- -- -- GNU ADA RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . L I N U X -- -- -- -- S p e c -- -- -- -- Copyright (C) 2014, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- In particular, you can freely distribute your programs built with the -- -- GNAT Pro compiler, including any required library run-time units, using -- -- any licensing terms of your choosing. See the AdaCore Software License -- -- for full details. -- -- -- -- -- ------------------------------------------------------------------------------ -- This is the Android version of this package -- This package encapsulates cpu specific differences between implementations -- of GNU/Linux, in order to share s-osinte-linux.ads. -- PLEASE DO NOT add any with-clauses to this package or remove the pragma -- Preelaborate. This package is designed to be a bottom-level (leaf) package with Interfaces.C; package System.Linux is pragma Preelaborate; ---------- -- Time -- ---------- subtype long is Interfaces.C.long; subtype suseconds_t is Interfaces.C.long; subtype time_t is Interfaces.C.long; subtype clockid_t is Interfaces.C.int; type timespec is record tv_sec : time_t; tv_nsec : long; end record; pragma Convention (C, timespec); type timeval is record tv_sec : time_t; tv_usec : suseconds_t; end record; pragma Convention (C, timeval); ----------- -- Errno -- ----------- EAGAIN : constant := 11; EINTR : constant := 4; EINVAL : constant := 22; ENOMEM : constant := 12; EPERM : constant := 1; ETIMEDOUT : constant := 110; ------------- -- Signals -- ------------- SIGHUP : constant := 1; -- hangup SIGINT : constant := 2; -- interrupt (rubout) SIGQUIT : constant := 3; -- quit (ASCD FS) SIGILL : constant := 4; -- illegal instruction (not reset) SIGTRAP : constant := 5; -- trace trap (not reset) SIGIOT : constant := 6; -- IOT instruction SIGABRT : constant := 6; -- used by abort, replace SIGIOT in the future SIGFPE : constant := 8; -- floating point exception SIGKILL : constant := 9; -- kill (cannot be caught or ignored) SIGBUS : constant := 7; -- bus error SIGSEGV : constant := 11; -- segmentation violation SIGPIPE : constant := 13; -- write on a pipe with no one to read it SIGALRM : constant := 14; -- alarm clock SIGTERM : constant := 15; -- software termination signal from kill SIGUSR1 : constant := 10; -- user defined signal 1 SIGUSR2 : constant := 12; -- user defined signal 2 SIGCLD : constant := 17; -- alias for SIGCHLD SIGCHLD : constant := 17; -- child status change SIGPWR : constant := 30; -- power-fail restart SIGWINCH : constant := 28; -- window size change SIGURG : constant := 23; -- urgent condition on IO channel SIGPOLL : constant := 29; -- pollable event occurred SIGIO : constant := 29; -- I/O now possible (4.2 BSD) SIGLOST : constant := 29; -- File lock lost SIGSTOP : constant := 19; -- stop (cannot be caught or ignored) SIGTSTP : constant := 20; -- user stop requested from tty SIGCONT : constant := 18; -- stopped process has been continued SIGTTIN : constant := 21; -- background tty read attempted SIGTTOU : constant := 22; -- background tty write attempted SIGVTALRM : constant := 26; -- virtual timer expired SIGPROF : constant := 27; -- profiling timer expired SIGXCPU : constant := 24; -- CPU time limit exceeded SIGXFSZ : constant := 25; -- filesize limit exceeded SIGUNUSED : constant := 31; -- unused signal (GNU/Linux) SIGSTKFLT : constant := 16; -- coprocessor stack fault (Linux) SIGLTHRRES : constant := 32; -- GNU/LinuxThreads restart signal SIGLTHRCAN : constant := 33; -- GNU/LinuxThreads cancel signal SIGLTHRDBG : constant := 34; -- GNU/LinuxThreads debugger signal -- struct_sigaction offsets sa_handler_pos : constant := 0; sa_mask_pos : constant := Standard'Address_Size / 8; sa_flags_pos : constant := 4 + sa_mask_pos; SA_SIGINFO : constant := 16#00000004#; SA_ONSTACK : constant := 16#08000000#; SA_RESTART : constant := 16#10000000#; SA_NODEFER : constant := 16#40000000#; end System.Linux;
Ada
6,076
ads
7
46.738462
79
0.517775
------------------------------------------------------------------------------- -- Copyright (c) 2016 Daniel King -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. ------------------------------------------------------------------------------- package body Verhoeff with SPARK_Mode => On is type Digit_Number is new Natural range 0 .. 9; D : constant array(Digit_Number, Digit_Number) of Digit_Number := ((0, 1, 2, 3, 4, 5, 6, 7, 8, 9), (1, 2, 3, 4, 0, 6, 7, 8, 9, 5), (2, 3, 4, 0, 1, 7, 8, 9, 5, 6), (3, 4, 0, 1, 2, 8, 9, 5, 6, 7), (4, 0, 1, 2, 3, 9, 5, 6, 7, 8), (5, 9, 8, 7, 6, 0, 4, 3, 2, 1), (6, 5, 9, 8, 7, 1, 0, 4, 3, 2), (7, 6, 5, 9, 8, 2, 1, 0, 4, 3), (8, 7, 6, 5, 9, 3, 2, 1, 0, 4), (9, 8, 7, 6, 5, 4, 3, 2, 1, 0)); Inv : constant array(Digit_Number) of Digit_Number := (0, 4, 3, 2, 1, 5, 6, 7, 8, 9); P : constant array(Digit_Number range 0 .. 7, Digit_Number) of Digit_Number := ((0, 1, 2, 3, 4, 5, 6, 7, 8, 9), (1, 5, 7, 6, 2, 8, 3, 0, 9, 4), (5, 8, 0, 3, 7, 9, 6, 1, 4, 2), (8, 9, 1, 6, 0, 4, 3, 5, 2, 7), (9, 4, 5, 3, 1, 2, 6, 8, 7, 0), (4, 2, 8, 6, 5, 7, 3, 9, 0, 1), (2, 7, 9, 3, 8, 0, 6, 4, 1, 5), (7, 0, 4, 6, 9, 1, 3, 2, 5, 8)); -- Check that Inv(Inv(j)) == j pragma Assert(for all J in Digit_Number => Inv(Inv(J)) = J); -- Check that D(j, Inv(j)) = 0 pragma Assert(for all J in Digit_Number => D(J, Inv(J)) = 0); -- Check that P(i+j, n) = P(i, P(j, n)) pragma Assert(for all I in Digit_Number => (for all J in Digit_Number => (for all N in Digit_Number => P((I+J) mod 8, N) = P(I mod 8, P(J mod 8, N)) ) ) ); function To_Digit_Number(Value : in Digit_Character) return Digit_Number is (Digit_Number(Digit_Character'Pos(Value) - Digit_Character'Pos('0'))) with Inline; function To_Digit_Character(Value : in Digit_Number) return Digit_Character is (Digit_Character'Val(Digit_Character'Pos('0') + Integer(Value))) with Inline; function Compute_Verhoeff(Seq : in String; Initial : in Digit_Character) return Digit_Number with Pre => (for all I in Seq'Range => (Seq(I) in Digit_Character)) is C : Digit_Number := To_Digit_Number(Initial); I : Natural := 0; X : Natural := Seq'Length; begin while X > 0 loop pragma Loop_Variant(Decreases => X); pragma Loop_Invariant((I+X) = Seq'Length); I := I + 1; X := X - 1; C := D(C, P(Digit_Number(I mod 8), To_Digit_Number(Seq(Seq'First + X)))); end loop; return C; end Compute_Verhoeff; function Check_Digit(Seq : in String) return Digit_Character is begin return To_Digit_Character(Inv(Compute_Verhoeff(Seq, '0'))); end Check_Digit; function Is_Valid(Seq : in String) return Boolean is begin return 0 = Compute_Verhoeff(Seq(Seq'First .. Seq'Last - 1), Seq(Seq'Last)); end Is_Valid; end Verhoeff;
Ada
4,225
adb
null
37.389381
82
0.552899
----------------------------------------------------------------------- -- Search.Models -- Search.Models ----------------------------------------------------------------------- -- File generated by ada-gen DO NOT MODIFY -- Template used: templates/model/package-body.xhtml -- Ada Generator: https://ada-gen.googlecode.com/svn/trunk Revision 1095 ----------------------------------------------------------------------- -- Copyright (C) 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Unchecked_Deallocation; package body Search.Models is use type ADO.Objects.Object_Record_Access; use type ADO.Objects.Object_Ref; pragma Warnings (Off, "formal parameter * is not referenced"); function Index_Key (Id : in ADO.Identifier) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => INDEX_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Index_Key; function Index_Key (Id : in String) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => INDEX_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Index_Key; function "=" (Left, Right : Index_Ref'Class) return Boolean is begin return ADO.Objects.Object_Ref'Class (Left) = ADO.Objects.Object_Ref'Class (Right); end "="; procedure Set_Field (Object : in out Index_Ref'Class; Impl : out Index_Access) is Result : ADO.Objects.Object_Record_Access; begin Object.Prepare_Modify (Result); Impl := Index_Impl (Result.all)'Access; end Set_Field; -- Internal method to allocate the Object_Record instance procedure Allocate (Object : in out Index_Ref) is Impl : Index_Access; begin Impl := new Index_Impl; ADO.Objects.Set_Object (Object, Impl.all'Access); end Allocate; -- ---------------------------------------- -- Data object: Index -- ---------------------------------------- procedure Set_Id (Object : in out Index_Ref; Value : in ADO.Identifier) is Impl : Index_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Key_Value (Impl.all, 1, Value); end Set_Id; function Get_Id (Object : in Index_Ref) return ADO.Identifier is Impl : constant Index_Access := Index_Impl (Object.Get_Object.all)'Access; begin return Impl.Get_Key_Value; end Get_Id; -- Copy of the object. procedure Copy (Object : in Index_Ref; Into : in out Index_Ref) is Result : Index_Ref; begin if not Object.Is_Null then declare Impl : constant Index_Access := Index_Impl (Object.Get_Load_Object.all)'Access; Copy : constant Index_Access := new Index_Impl; begin ADO.Objects.Set_Object (Result, Copy.all'Access); Copy.Copy (Impl.all); end; end if; Into := Result; end Copy; procedure Find (Object : in out Index_Ref; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Impl : constant Index_Access := new Index_Impl; begin Impl.Find (Session, Query, Found); if Found then ADO.Objects.Set_Object (Object, Impl.all'Access); else ADO.Objects.Set_Object (Object, null); Destroy (Impl); end if; end Find; procedure Load (Object : in out Index_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier) is Impl : constant Index_Access := new Index_Impl; Found : Boolean; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); raise ADO.Objects.NOT_FOUND; end if; ADO.Objects.Set_Object (Object, Impl.all'Access); end Load; procedure Load (Object : in out Index_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier; Found : out Boolean) is Impl : constant Index_Access := new Index_Impl; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); else ADO.Objects.Set_Object (Object, Impl.all'Access); end if; end Load; procedure Save (Object : in out Index_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl = null then Impl := new Index_Impl; ADO.Objects.Set_Object (Object, Impl); end if; if not ADO.Objects.Is_Created (Impl.all) then Impl.Create (Session); else Impl.Save (Session); end if; end Save; procedure Delete (Object : in out Index_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : constant ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl /= null then Impl.Delete (Session); end if; end Delete; -- -------------------- -- Free the object -- -------------------- procedure Destroy (Object : access Index_Impl) is type Index_Impl_Ptr is access all Index_Impl; procedure Unchecked_Free is new Ada.Unchecked_Deallocation (Index_Impl, Index_Impl_Ptr); pragma Warnings (Off, "*redundant conversion*"); Ptr : Index_Impl_Ptr := Index_Impl (Object.all)'Access; pragma Warnings (On, "*redundant conversion*"); begin Unchecked_Free (Ptr); end Destroy; procedure Find (Object : in out Index_Impl; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Stmt : ADO.Statements.Query_Statement := Session.Create_Statement (Query, INDEX_DEF'Access); begin Stmt.Execute; if Stmt.Has_Elements then Object.Load (Stmt, Session); Stmt.Next; Found := not Stmt.Has_Elements; else Found := False; end if; end Find; overriding procedure Load (Object : in out Index_Impl; Session : in out ADO.Sessions.Session'Class) is Found : Boolean; Query : ADO.SQL.Query; Id : constant ADO.Identifier := Object.Get_Key_Value; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Object.Find (Session, Query, Found); if not Found then raise ADO.Objects.NOT_FOUND; end if; end Load; procedure Save (Object : in out Index_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Update_Statement := Session.Create_Statement (INDEX_DEF'Access); begin if Object.Is_Modified (1) then Stmt.Save_Field (Name => COL_0_1_NAME, -- id Value => Object.Get_Key); Object.Clear_Modified (1); end if; if Stmt.Has_Save_Fields then Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); declare Result : Integer; begin Stmt.Execute (Result); if Result /= 1 then if Result /= 0 then raise ADO.Objects.UPDATE_ERROR; end if; end if; end; end if; end Save; procedure Create (Object : in out Index_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Query : ADO.Statements.Insert_Statement := Session.Create_Statement (INDEX_DEF'Access); Result : Integer; begin Session.Allocate (Id => Object); Query.Save_Field (Name => COL_0_1_NAME, -- id Value => Object.Get_Key); Query.Execute (Result); if Result /= 1 then raise ADO.Objects.INSERT_ERROR; end if; ADO.Objects.Set_Created (Object); end Create; procedure Delete (Object : in out Index_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Delete_Statement := Session.Create_Statement (INDEX_DEF'Access); begin Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Execute; end Delete; -- ------------------------------ -- Get the bean attribute identified by the name. -- ------------------------------ overriding function Get_Value (From : in Index_Ref; Name : in String) return Util.Beans.Objects.Object is Obj : ADO.Objects.Object_Record_Access; Impl : access Index_Impl; begin if From.Is_Null then return Util.Beans.Objects.Null_Object; end if; Obj := From.Get_Load_Object; Impl := Index_Impl (Obj.all)'Access; if Name = "id" then return ADO.Objects.To_Object (Impl.Get_Key); end if; return Util.Beans.Objects.Null_Object; end Get_Value; -- ------------------------------ -- Load the object from current iterator position -- ------------------------------ procedure Load (Object : in out Index_Impl; Stmt : in out ADO.Statements.Query_Statement'Class; Session : in out ADO.Sessions.Session'Class) is pragma Unreferenced (Session); begin Object.Set_Key_Value (Stmt.Get_Identifier (0)); ADO.Objects.Set_Created (Object); end Load; function Document_Key (Id : in ADO.Identifier) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => DOCUMENT_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Document_Key; function Document_Key (Id : in String) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => DOCUMENT_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Document_Key; function "=" (Left, Right : Document_Ref'Class) return Boolean is begin return ADO.Objects.Object_Ref'Class (Left) = ADO.Objects.Object_Ref'Class (Right); end "="; procedure Set_Field (Object : in out Document_Ref'Class; Impl : out Document_Access) is Result : ADO.Objects.Object_Record_Access; begin Object.Prepare_Modify (Result); Impl := Document_Impl (Result.all)'Access; end Set_Field; -- Internal method to allocate the Object_Record instance procedure Allocate (Object : in out Document_Ref) is Impl : Document_Access; begin Impl := new Document_Impl; ADO.Objects.Set_Object (Object, Impl.all'Access); end Allocate; -- ---------------------------------------- -- Data object: Document -- ---------------------------------------- procedure Set_Id (Object : in out Document_Ref; Value : in ADO.Identifier) is Impl : Document_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Key_Value (Impl.all, 1, Value); end Set_Id; function Get_Id (Object : in Document_Ref) return ADO.Identifier is Impl : constant Document_Access := Document_Impl (Object.Get_Object.all)'Access; begin return Impl.Get_Key_Value; end Get_Id; procedure Set_Index (Object : in out Document_Ref; Value : in Search.Models.Index_Ref'Class) is Impl : Document_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Object (Impl.all, 2, Impl.Index, Value); end Set_Index; function Get_Index (Object : in Document_Ref) return Search.Models.Index_Ref'Class is Impl : constant Document_Access := Document_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Index; end Get_Index; -- Copy of the object. procedure Copy (Object : in Document_Ref; Into : in out Document_Ref) is Result : Document_Ref; begin if not Object.Is_Null then declare Impl : constant Document_Access := Document_Impl (Object.Get_Load_Object.all)'Access; Copy : constant Document_Access := new Document_Impl; begin ADO.Objects.Set_Object (Result, Copy.all'Access); Copy.Copy (Impl.all); Copy.Index := Impl.Index; end; end if; Into := Result; end Copy; procedure Find (Object : in out Document_Ref; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Impl : constant Document_Access := new Document_Impl; begin Impl.Find (Session, Query, Found); if Found then ADO.Objects.Set_Object (Object, Impl.all'Access); else ADO.Objects.Set_Object (Object, null); Destroy (Impl); end if; end Find; procedure Load (Object : in out Document_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier) is Impl : constant Document_Access := new Document_Impl; Found : Boolean; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); raise ADO.Objects.NOT_FOUND; end if; ADO.Objects.Set_Object (Object, Impl.all'Access); end Load; procedure Load (Object : in out Document_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier; Found : out Boolean) is Impl : constant Document_Access := new Document_Impl; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); else ADO.Objects.Set_Object (Object, Impl.all'Access); end if; end Load; procedure Save (Object : in out Document_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl = null then Impl := new Document_Impl; ADO.Objects.Set_Object (Object, Impl); end if; if not ADO.Objects.Is_Created (Impl.all) then Impl.Create (Session); else Impl.Save (Session); end if; end Save; procedure Delete (Object : in out Document_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : constant ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl /= null then Impl.Delete (Session); end if; end Delete; -- -------------------- -- Free the object -- -------------------- procedure Destroy (Object : access Document_Impl) is type Document_Impl_Ptr is access all Document_Impl; procedure Unchecked_Free is new Ada.Unchecked_Deallocation (Document_Impl, Document_Impl_Ptr); pragma Warnings (Off, "*redundant conversion*"); Ptr : Document_Impl_Ptr := Document_Impl (Object.all)'Access; pragma Warnings (On, "*redundant conversion*"); begin Unchecked_Free (Ptr); end Destroy; procedure Find (Object : in out Document_Impl; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Stmt : ADO.Statements.Query_Statement := Session.Create_Statement (Query, DOCUMENT_DEF'Access); begin Stmt.Execute; if Stmt.Has_Elements then Object.Load (Stmt, Session); Stmt.Next; Found := not Stmt.Has_Elements; else Found := False; end if; end Find; overriding procedure Load (Object : in out Document_Impl; Session : in out ADO.Sessions.Session'Class) is Found : Boolean; Query : ADO.SQL.Query; Id : constant ADO.Identifier := Object.Get_Key_Value; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Object.Find (Session, Query, Found); if not Found then raise ADO.Objects.NOT_FOUND; end if; end Load; procedure Save (Object : in out Document_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Update_Statement := Session.Create_Statement (DOCUMENT_DEF'Access); begin if Object.Is_Modified (1) then Stmt.Save_Field (Name => COL_0_2_NAME, -- id Value => Object.Get_Key); Object.Clear_Modified (1); end if; if Object.Is_Modified (2) then Stmt.Save_Field (Name => COL_1_2_NAME, -- index_id Value => Object.Index); Object.Clear_Modified (2); end if; if Stmt.Has_Save_Fields then Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); declare Result : Integer; begin Stmt.Execute (Result); if Result /= 1 then if Result /= 0 then raise ADO.Objects.UPDATE_ERROR; end if; end if; end; end if; end Save; procedure Create (Object : in out Document_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Query : ADO.Statements.Insert_Statement := Session.Create_Statement (DOCUMENT_DEF'Access); Result : Integer; begin Session.Allocate (Id => Object); Query.Save_Field (Name => COL_0_2_NAME, -- id Value => Object.Get_Key); Query.Save_Field (Name => COL_1_2_NAME, -- index_id Value => Object.Index); Query.Execute (Result); if Result /= 1 then raise ADO.Objects.INSERT_ERROR; end if; ADO.Objects.Set_Created (Object); end Create; procedure Delete (Object : in out Document_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Delete_Statement := Session.Create_Statement (DOCUMENT_DEF'Access); begin Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Execute; end Delete; -- ------------------------------ -- Get the bean attribute identified by the name. -- ------------------------------ overriding function Get_Value (From : in Document_Ref; Name : in String) return Util.Beans.Objects.Object is Obj : ADO.Objects.Object_Record_Access; Impl : access Document_Impl; begin if From.Is_Null then return Util.Beans.Objects.Null_Object; end if; Obj := From.Get_Load_Object; Impl := Document_Impl (Obj.all)'Access; if Name = "id" then return ADO.Objects.To_Object (Impl.Get_Key); end if; return Util.Beans.Objects.Null_Object; end Get_Value; -- ------------------------------ -- Load the object from current iterator position -- ------------------------------ procedure Load (Object : in out Document_Impl; Stmt : in out ADO.Statements.Query_Statement'Class; Session : in out ADO.Sessions.Session'Class) is begin Object.Set_Key_Value (Stmt.Get_Identifier (0)); if not Stmt.Is_Null (1) then Object.Index.Set_Key_Value (Stmt.Get_Identifier (1), Session); end if; ADO.Objects.Set_Created (Object); end Load; function Field_Key (Id : in ADO.Identifier) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => FIELD_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Field_Key; function Field_Key (Id : in String) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => FIELD_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Field_Key; function "=" (Left, Right : Field_Ref'Class) return Boolean is begin return ADO.Objects.Object_Ref'Class (Left) = ADO.Objects.Object_Ref'Class (Right); end "="; procedure Set_Field (Object : in out Field_Ref'Class; Impl : out Field_Access) is Result : ADO.Objects.Object_Record_Access; begin Object.Prepare_Modify (Result); Impl := Field_Impl (Result.all)'Access; end Set_Field; -- Internal method to allocate the Object_Record instance procedure Allocate (Object : in out Field_Ref) is Impl : Field_Access; begin Impl := new Field_Impl; ADO.Objects.Set_Object (Object, Impl.all'Access); end Allocate; -- ---------------------------------------- -- Data object: Field -- ---------------------------------------- procedure Set_Id (Object : in out Field_Ref; Value : in ADO.Identifier) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Key_Value (Impl.all, 1, Value); end Set_Id; function Get_Id (Object : in Field_Ref) return ADO.Identifier is Impl : constant Field_Access := Field_Impl (Object.Get_Object.all)'Access; begin return Impl.Get_Key_Value; end Get_Id; procedure Set_Name (Object : in out Field_Ref; Value : in String) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_String (Impl.all, 2, Impl.Name, Value); end Set_Name; procedure Set_Name (Object : in out Field_Ref; Value : in Ada.Strings.Unbounded.Unbounded_String) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Unbounded_String (Impl.all, 2, Impl.Name, Value); end Set_Name; function Get_Name (Object : in Field_Ref) return String is begin return Ada.Strings.Unbounded.To_String (Object.Get_Name); end Get_Name; function Get_Name (Object : in Field_Ref) return Ada.Strings.Unbounded.Unbounded_String is Impl : constant Field_Access := Field_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Name; end Get_Name; procedure Set_Value (Object : in out Field_Ref; Value : in String) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_String (Impl.all, 3, Impl.Value, Value); end Set_Value; procedure Set_Value (Object : in out Field_Ref; Value : in Ada.Strings.Unbounded.Unbounded_String) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Unbounded_String (Impl.all, 3, Impl.Value, Value); end Set_Value; function Get_Value (Object : in Field_Ref) return String is begin return Ada.Strings.Unbounded.To_String (Object.Get_Value); end Get_Value; function Get_Value (Object : in Field_Ref) return Ada.Strings.Unbounded.Unbounded_String is Impl : constant Field_Access := Field_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Value; end Get_Value; procedure Set_Document (Object : in out Field_Ref; Value : in Search.Models.Document_Ref'Class) is Impl : Field_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Object (Impl.all, 4, Impl.Document, Value); end Set_Document; function Get_Document (Object : in Field_Ref) return Search.Models.Document_Ref'Class is Impl : constant Field_Access := Field_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Document; end Get_Document; -- Copy of the object. procedure Copy (Object : in Field_Ref; Into : in out Field_Ref) is Result : Field_Ref; begin if not Object.Is_Null then declare Impl : constant Field_Access := Field_Impl (Object.Get_Load_Object.all)'Access; Copy : constant Field_Access := new Field_Impl; begin ADO.Objects.Set_Object (Result, Copy.all'Access); Copy.Copy (Impl.all); Copy.Name := Impl.Name; Copy.Value := Impl.Value; Copy.Document := Impl.Document; end; end if; Into := Result; end Copy; procedure Find (Object : in out Field_Ref; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Impl : constant Field_Access := new Field_Impl; begin Impl.Find (Session, Query, Found); if Found then ADO.Objects.Set_Object (Object, Impl.all'Access); else ADO.Objects.Set_Object (Object, null); Destroy (Impl); end if; end Find; procedure Load (Object : in out Field_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier) is Impl : constant Field_Access := new Field_Impl; Found : Boolean; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); raise ADO.Objects.NOT_FOUND; end if; ADO.Objects.Set_Object (Object, Impl.all'Access); end Load; procedure Load (Object : in out Field_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier; Found : out Boolean) is Impl : constant Field_Access := new Field_Impl; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); else ADO.Objects.Set_Object (Object, Impl.all'Access); end if; end Load; procedure Save (Object : in out Field_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl = null then Impl := new Field_Impl; ADO.Objects.Set_Object (Object, Impl); end if; if not ADO.Objects.Is_Created (Impl.all) then Impl.Create (Session); else Impl.Save (Session); end if; end Save; procedure Delete (Object : in out Field_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : constant ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl /= null then Impl.Delete (Session); end if; end Delete; -- -------------------- -- Free the object -- -------------------- procedure Destroy (Object : access Field_Impl) is type Field_Impl_Ptr is access all Field_Impl; procedure Unchecked_Free is new Ada.Unchecked_Deallocation (Field_Impl, Field_Impl_Ptr); pragma Warnings (Off, "*redundant conversion*"); Ptr : Field_Impl_Ptr := Field_Impl (Object.all)'Access; pragma Warnings (On, "*redundant conversion*"); begin Unchecked_Free (Ptr); end Destroy; procedure Find (Object : in out Field_Impl; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Stmt : ADO.Statements.Query_Statement := Session.Create_Statement (Query, FIELD_DEF'Access); begin Stmt.Execute; if Stmt.Has_Elements then Object.Load (Stmt, Session); Stmt.Next; Found := not Stmt.Has_Elements; else Found := False; end if; end Find; overriding procedure Load (Object : in out Field_Impl; Session : in out ADO.Sessions.Session'Class) is Found : Boolean; Query : ADO.SQL.Query; Id : constant ADO.Identifier := Object.Get_Key_Value; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Object.Find (Session, Query, Found); if not Found then raise ADO.Objects.NOT_FOUND; end if; end Load; procedure Save (Object : in out Field_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Update_Statement := Session.Create_Statement (FIELD_DEF'Access); begin if Object.Is_Modified (1) then Stmt.Save_Field (Name => COL_0_3_NAME, -- id Value => Object.Get_Key); Object.Clear_Modified (1); end if; if Object.Is_Modified (4) then Stmt.Save_Field (Name => COL_3_3_NAME, -- document_id Value => Object.Document); Object.Clear_Modified (4); end if; if Stmt.Has_Save_Fields then Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); declare Result : Integer; begin Stmt.Execute (Result); if Result /= 1 then if Result /= 0 then raise ADO.Objects.UPDATE_ERROR; end if; end if; end; end if; end Save; procedure Create (Object : in out Field_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Query : ADO.Statements.Insert_Statement := Session.Create_Statement (FIELD_DEF'Access); Result : Integer; begin Session.Allocate (Id => Object); Query.Save_Field (Name => COL_0_3_NAME, -- id Value => Object.Get_Key); Query.Save_Field (Name => COL_1_3_NAME, -- name Value => Object.Name); Query.Save_Field (Name => COL_2_3_NAME, -- value Value => Object.Value); Query.Save_Field (Name => COL_3_3_NAME, -- document_id Value => Object.Document); Query.Execute (Result); if Result /= 1 then raise ADO.Objects.INSERT_ERROR; end if; ADO.Objects.Set_Created (Object); end Create; procedure Delete (Object : in out Field_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Delete_Statement := Session.Create_Statement (FIELD_DEF'Access); begin Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Execute; end Delete; -- ------------------------------ -- Get the bean attribute identified by the name. -- ------------------------------ overriding function Get_Value (From : in Field_Ref; Name : in String) return Util.Beans.Objects.Object is Obj : ADO.Objects.Object_Record_Access; Impl : access Field_Impl; begin if From.Is_Null then return Util.Beans.Objects.Null_Object; end if; Obj := From.Get_Load_Object; Impl := Field_Impl (Obj.all)'Access; if Name = "id" then return ADO.Objects.To_Object (Impl.Get_Key); elsif Name = "name" then return Util.Beans.Objects.To_Object (Impl.Name); elsif Name = "value" then return Util.Beans.Objects.To_Object (Impl.Value); end if; return Util.Beans.Objects.Null_Object; end Get_Value; -- ------------------------------ -- Load the object from current iterator position -- ------------------------------ procedure Load (Object : in out Field_Impl; Stmt : in out ADO.Statements.Query_Statement'Class; Session : in out ADO.Sessions.Session'Class) is begin Object.Set_Key_Value (Stmt.Get_Identifier (0)); Object.Name := Stmt.Get_Unbounded_String (1); Object.Value := Stmt.Get_Unbounded_String (2); if not Stmt.Is_Null (3) then Object.Document.Set_Key_Value (Stmt.Get_Identifier (3), Session); end if; ADO.Objects.Set_Created (Object); end Load; function Sequence_Key (Id : in ADO.Identifier) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => SEQUENCE_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Sequence_Key; function Sequence_Key (Id : in String) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => SEQUENCE_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Sequence_Key; function "=" (Left, Right : Sequence_Ref'Class) return Boolean is begin return ADO.Objects.Object_Ref'Class (Left) = ADO.Objects.Object_Ref'Class (Right); end "="; procedure Set_Field (Object : in out Sequence_Ref'Class; Impl : out Sequence_Access) is Result : ADO.Objects.Object_Record_Access; begin Object.Prepare_Modify (Result); Impl := Sequence_Impl (Result.all)'Access; end Set_Field; -- Internal method to allocate the Object_Record instance procedure Allocate (Object : in out Sequence_Ref) is Impl : Sequence_Access; begin Impl := new Sequence_Impl; Impl.Token := ADO.NO_IDENTIFIER; ADO.Objects.Set_Object (Object, Impl.all'Access); end Allocate; -- ---------------------------------------- -- Data object: Sequence -- ---------------------------------------- procedure Set_Positions (Object : in out Sequence_Ref; Value : in ADO.Blob_Ref) is Impl : Sequence_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Blob (Impl.all, 1, Impl.Positions, Value); end Set_Positions; function Get_Positions (Object : in Sequence_Ref) return ADO.Blob_Ref is Impl : constant Sequence_Access := Sequence_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Positions; end Get_Positions; procedure Set_Token (Object : in out Sequence_Ref; Value : in ADO.Identifier) is Impl : Sequence_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Identifier (Impl.all, 2, Impl.Token, Value); end Set_Token; function Get_Token (Object : in Sequence_Ref) return ADO.Identifier is Impl : constant Sequence_Access := Sequence_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Token; end Get_Token; procedure Set_Field (Object : in out Sequence_Ref; Value : in ADO.Identifier) is Impl : Sequence_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Key_Value (Impl.all, 3, Value); end Set_Field; function Get_Field (Object : in Sequence_Ref) return ADO.Identifier is Impl : constant Sequence_Access := Sequence_Impl (Object.Get_Object.all)'Access; begin return Impl.Get_Key_Value; end Get_Field; -- Copy of the object. procedure Copy (Object : in Sequence_Ref; Into : in out Sequence_Ref) is Result : Sequence_Ref; begin if not Object.Is_Null then declare Impl : constant Sequence_Access := Sequence_Impl (Object.Get_Load_Object.all)'Access; Copy : constant Sequence_Access := new Sequence_Impl; begin ADO.Objects.Set_Object (Result, Copy.all'Access); Copy.Copy (Impl.all); Copy.Positions := Impl.Positions; Copy.Token := Impl.Token; end; end if; Into := Result; end Copy; procedure Find (Object : in out Sequence_Ref; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Impl : constant Sequence_Access := new Sequence_Impl; begin Impl.Find (Session, Query, Found); if Found then ADO.Objects.Set_Object (Object, Impl.all'Access); else ADO.Objects.Set_Object (Object, null); Destroy (Impl); end if; end Find; procedure Load (Object : in out Sequence_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier) is Impl : constant Sequence_Access := new Sequence_Impl; Found : Boolean; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("field = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); raise ADO.Objects.NOT_FOUND; end if; ADO.Objects.Set_Object (Object, Impl.all'Access); end Load; procedure Load (Object : in out Sequence_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier; Found : out Boolean) is Impl : constant Sequence_Access := new Sequence_Impl; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("field = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); else ADO.Objects.Set_Object (Object, Impl.all'Access); end if; end Load; procedure Save (Object : in out Sequence_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl = null then Impl := new Sequence_Impl; ADO.Objects.Set_Object (Object, Impl); end if; if not ADO.Objects.Is_Created (Impl.all) then Impl.Create (Session); else Impl.Save (Session); end if; end Save; procedure Delete (Object : in out Sequence_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : constant ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl /= null then Impl.Delete (Session); end if; end Delete; -- -------------------- -- Free the object -- -------------------- procedure Destroy (Object : access Sequence_Impl) is type Sequence_Impl_Ptr is access all Sequence_Impl; procedure Unchecked_Free is new Ada.Unchecked_Deallocation (Sequence_Impl, Sequence_Impl_Ptr); pragma Warnings (Off, "*redundant conversion*"); Ptr : Sequence_Impl_Ptr := Sequence_Impl (Object.all)'Access; pragma Warnings (On, "*redundant conversion*"); begin Unchecked_Free (Ptr); end Destroy; procedure Find (Object : in out Sequence_Impl; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Stmt : ADO.Statements.Query_Statement := Session.Create_Statement (Query, SEQUENCE_DEF'Access); begin Stmt.Execute; if Stmt.Has_Elements then Object.Load (Stmt, Session); Stmt.Next; Found := not Stmt.Has_Elements; else Found := False; end if; end Find; overriding procedure Load (Object : in out Sequence_Impl; Session : in out ADO.Sessions.Session'Class) is Found : Boolean; Query : ADO.SQL.Query; Id : constant ADO.Identifier := Object.Get_Key_Value; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("field = ?"); Object.Find (Session, Query, Found); if not Found then raise ADO.Objects.NOT_FOUND; end if; end Load; procedure Save (Object : in out Sequence_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Update_Statement := Session.Create_Statement (SEQUENCE_DEF'Access); begin if Object.Is_Modified (1) then Stmt.Save_Field (Name => COL_0_4_NAME, -- positions Value => Object.Positions); Object.Clear_Modified (1); end if; if Object.Is_Modified (2) then Stmt.Save_Field (Name => COL_1_4_NAME, -- token Value => Object.Token); Object.Clear_Modified (2); end if; if Object.Is_Modified (3) then Stmt.Save_Field (Name => COL_2_4_NAME, -- field Value => Object.Get_Key); Object.Clear_Modified (3); end if; if Stmt.Has_Save_Fields then Stmt.Set_Filter (Filter => "field = ? AND token = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Add_Param (Value => Object.Token); declare Result : Integer; begin Stmt.Execute (Result); if Result /= 1 then if Result /= 0 then raise ADO.Objects.UPDATE_ERROR; end if; end if; end; end if; end Save; procedure Create (Object : in out Sequence_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Query : ADO.Statements.Insert_Statement := Session.Create_Statement (SEQUENCE_DEF'Access); Result : Integer; begin Query.Save_Field (Name => COL_0_4_NAME, -- positions Value => Object.Positions); Query.Save_Field (Name => COL_1_4_NAME, -- token Value => Object.Token); Query.Save_Field (Name => COL_2_4_NAME, -- field Value => Object.Get_Key); Query.Execute (Result); if Result /= 1 then raise ADO.Objects.INSERT_ERROR; end if; ADO.Objects.Set_Created (Object); end Create; procedure Delete (Object : in out Sequence_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Delete_Statement := Session.Create_Statement (SEQUENCE_DEF'Access); begin Stmt.Set_Filter (Filter => "field = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Execute; end Delete; -- ------------------------------ -- Get the bean attribute identified by the name. -- ------------------------------ overriding function Get_Value (From : in Sequence_Ref; Name : in String) return Util.Beans.Objects.Object is Obj : ADO.Objects.Object_Record_Access; Impl : access Sequence_Impl; begin if From.Is_Null then return Util.Beans.Objects.Null_Object; end if; Obj := From.Get_Load_Object; Impl := Sequence_Impl (Obj.all)'Access; if Name = "token" then return Util.Beans.Objects.To_Object (Long_Long_Integer (Impl.Token)); elsif Name = "field" then return ADO.Objects.To_Object (Impl.Get_Key); end if; return Util.Beans.Objects.Null_Object; end Get_Value; -- ------------------------------ -- Load the object from current iterator position -- ------------------------------ procedure Load (Object : in out Sequence_Impl; Stmt : in out ADO.Statements.Query_Statement'Class; Session : in out ADO.Sessions.Session'Class) is begin Object.Positions := Stmt.Get_Blob (0); Object.Token := Stmt.Get_Identifier (1); Object.Set_Key_Value (Stmt.Get_Identifier (2)); ADO.Objects.Set_Created (Object); end Load; function Token_Key (Id : in ADO.Identifier) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => TOKEN_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Token_Key; function Token_Key (Id : in String) return ADO.Objects.Object_Key is Result : ADO.Objects.Object_Key (Of_Type => ADO.Objects.KEY_INTEGER, Of_Class => TOKEN_DEF'Access); begin ADO.Objects.Set_Value (Result, Id); return Result; end Token_Key; function "=" (Left, Right : Token_Ref'Class) return Boolean is begin return ADO.Objects.Object_Ref'Class (Left) = ADO.Objects.Object_Ref'Class (Right); end "="; procedure Set_Field (Object : in out Token_Ref'Class; Impl : out Token_Access) is Result : ADO.Objects.Object_Record_Access; begin Object.Prepare_Modify (Result); Impl := Token_Impl (Result.all)'Access; end Set_Field; -- Internal method to allocate the Object_Record instance procedure Allocate (Object : in out Token_Ref) is Impl : Token_Access; begin Impl := new Token_Impl; ADO.Objects.Set_Object (Object, Impl.all'Access); end Allocate; -- ---------------------------------------- -- Data object: Token -- ---------------------------------------- procedure Set_Id (Object : in out Token_Ref; Value : in ADO.Identifier) is Impl : Token_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Key_Value (Impl.all, 1, Value); end Set_Id; function Get_Id (Object : in Token_Ref) return ADO.Identifier is Impl : constant Token_Access := Token_Impl (Object.Get_Object.all)'Access; begin return Impl.Get_Key_Value; end Get_Id; procedure Set_Name (Object : in out Token_Ref; Value : in String) is Impl : Token_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_String (Impl.all, 2, Impl.Name, Value); end Set_Name; procedure Set_Name (Object : in out Token_Ref; Value : in Ada.Strings.Unbounded.Unbounded_String) is Impl : Token_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Unbounded_String (Impl.all, 2, Impl.Name, Value); end Set_Name; function Get_Name (Object : in Token_Ref) return String is begin return Ada.Strings.Unbounded.To_String (Object.Get_Name); end Get_Name; function Get_Name (Object : in Token_Ref) return Ada.Strings.Unbounded.Unbounded_String is Impl : constant Token_Access := Token_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Name; end Get_Name; procedure Set_Index (Object : in out Token_Ref; Value : in Search.Models.Index_Ref'Class) is Impl : Token_Access; begin Set_Field (Object, Impl); ADO.Objects.Set_Field_Object (Impl.all, 3, Impl.Index, Value); end Set_Index; function Get_Index (Object : in Token_Ref) return Search.Models.Index_Ref'Class is Impl : constant Token_Access := Token_Impl (Object.Get_Load_Object.all)'Access; begin return Impl.Index; end Get_Index; -- Copy of the object. procedure Copy (Object : in Token_Ref; Into : in out Token_Ref) is Result : Token_Ref; begin if not Object.Is_Null then declare Impl : constant Token_Access := Token_Impl (Object.Get_Load_Object.all)'Access; Copy : constant Token_Access := new Token_Impl; begin ADO.Objects.Set_Object (Result, Copy.all'Access); Copy.Copy (Impl.all); Copy.Name := Impl.Name; Copy.Index := Impl.Index; end; end if; Into := Result; end Copy; procedure Find (Object : in out Token_Ref; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Impl : constant Token_Access := new Token_Impl; begin Impl.Find (Session, Query, Found); if Found then ADO.Objects.Set_Object (Object, Impl.all'Access); else ADO.Objects.Set_Object (Object, null); Destroy (Impl); end if; end Find; procedure Load (Object : in out Token_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier) is Impl : constant Token_Access := new Token_Impl; Found : Boolean; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); raise ADO.Objects.NOT_FOUND; end if; ADO.Objects.Set_Object (Object, Impl.all'Access); end Load; procedure Load (Object : in out Token_Ref; Session : in out ADO.Sessions.Session'Class; Id : in ADO.Identifier; Found : out Boolean) is Impl : constant Token_Access := new Token_Impl; Query : ADO.SQL.Query; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Impl.Find (Session, Query, Found); if not Found then Destroy (Impl); else ADO.Objects.Set_Object (Object, Impl.all'Access); end if; end Load; procedure Save (Object : in out Token_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl = null then Impl := new Token_Impl; ADO.Objects.Set_Object (Object, Impl); end if; if not ADO.Objects.Is_Created (Impl.all) then Impl.Create (Session); else Impl.Save (Session); end if; end Save; procedure Delete (Object : in out Token_Ref; Session : in out ADO.Sessions.Master_Session'Class) is Impl : constant ADO.Objects.Object_Record_Access := Object.Get_Object; begin if Impl /= null then Impl.Delete (Session); end if; end Delete; -- -------------------- -- Free the object -- -------------------- procedure Destroy (Object : access Token_Impl) is type Token_Impl_Ptr is access all Token_Impl; procedure Unchecked_Free is new Ada.Unchecked_Deallocation (Token_Impl, Token_Impl_Ptr); pragma Warnings (Off, "*redundant conversion*"); Ptr : Token_Impl_Ptr := Token_Impl (Object.all)'Access; pragma Warnings (On, "*redundant conversion*"); begin Unchecked_Free (Ptr); end Destroy; procedure Find (Object : in out Token_Impl; Session : in out ADO.Sessions.Session'Class; Query : in ADO.SQL.Query'Class; Found : out Boolean) is Stmt : ADO.Statements.Query_Statement := Session.Create_Statement (Query, TOKEN_DEF'Access); begin Stmt.Execute; if Stmt.Has_Elements then Object.Load (Stmt, Session); Stmt.Next; Found := not Stmt.Has_Elements; else Found := False; end if; end Find; overriding procedure Load (Object : in out Token_Impl; Session : in out ADO.Sessions.Session'Class) is Found : Boolean; Query : ADO.SQL.Query; Id : constant ADO.Identifier := Object.Get_Key_Value; begin Query.Bind_Param (Position => 1, Value => Id); Query.Set_Filter ("id = ?"); Object.Find (Session, Query, Found); if not Found then raise ADO.Objects.NOT_FOUND; end if; end Load; procedure Save (Object : in out Token_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Update_Statement := Session.Create_Statement (TOKEN_DEF'Access); begin if Object.Is_Modified (1) then Stmt.Save_Field (Name => COL_0_5_NAME, -- id Value => Object.Get_Key); Object.Clear_Modified (1); end if; if Object.Is_Modified (3) then Stmt.Save_Field (Name => COL_2_5_NAME, -- index_id Value => Object.Index); Object.Clear_Modified (3); end if; if Stmt.Has_Save_Fields then Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); declare Result : Integer; begin Stmt.Execute (Result); if Result /= 1 then if Result /= 0 then raise ADO.Objects.UPDATE_ERROR; end if; end if; end; end if; end Save; procedure Create (Object : in out Token_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Query : ADO.Statements.Insert_Statement := Session.Create_Statement (TOKEN_DEF'Access); Result : Integer; begin Session.Allocate (Id => Object); Query.Save_Field (Name => COL_0_5_NAME, -- id Value => Object.Get_Key); Query.Save_Field (Name => COL_1_5_NAME, -- name Value => Object.Name); Query.Save_Field (Name => COL_2_5_NAME, -- index_id Value => Object.Index); Query.Execute (Result); if Result /= 1 then raise ADO.Objects.INSERT_ERROR; end if; ADO.Objects.Set_Created (Object); end Create; procedure Delete (Object : in out Token_Impl; Session : in out ADO.Sessions.Master_Session'Class) is Stmt : ADO.Statements.Delete_Statement := Session.Create_Statement (TOKEN_DEF'Access); begin Stmt.Set_Filter (Filter => "id = ?"); Stmt.Add_Param (Value => Object.Get_Key); Stmt.Execute; end Delete; -- ------------------------------ -- Get the bean attribute identified by the name. -- ------------------------------ overriding function Get_Value (From : in Token_Ref; Name : in String) return Util.Beans.Objects.Object is Obj : ADO.Objects.Object_Record_Access; Impl : access Token_Impl; begin if From.Is_Null then return Util.Beans.Objects.Null_Object; end if; Obj := From.Get_Load_Object; Impl := Token_Impl (Obj.all)'Access; if Name = "id" then return ADO.Objects.To_Object (Impl.Get_Key); elsif Name = "name" then return Util.Beans.Objects.To_Object (Impl.Name); end if; return Util.Beans.Objects.Null_Object; end Get_Value; -- ------------------------------ -- Load the object from current iterator position -- ------------------------------ procedure Load (Object : in out Token_Impl; Stmt : in out ADO.Statements.Query_Statement'Class; Session : in out ADO.Sessions.Session'Class) is begin Object.Set_Key_Value (Stmt.Get_Identifier (0)); Object.Name := Stmt.Get_Unbounded_String (1); if not Stmt.Is_Null (2) then Object.Index.Set_Key_Value (Stmt.Get_Identifier (2), Session); end if; ADO.Objects.Set_Created (Object); end Load; end Search.Models;
Ada
57,520
adb
9
34.299344
88
0.583171
package Buildinfo with SPARK_Mode is function Compilation_ISO_Date return String -- implementation-defined (GNAT) with Import, Convention => Intrinsic, Global => null, Post => Compilation_ISO_Date'Result'Length = 10; -- returns "YYYY-MM-DD" function Compilation_Time return String -- implementation-defined (GNAT) with Import, Convention => Intrinsic, Global => null, Post => Compilation_Time'Result'Length in 7 .. 8; -- returns "HH:MM:SS" function Short_Datetime return String with Post => Short_Datetime'Result'Length = 11; -- returns "YYMMDD_HHMM" end Buildinfo;
Ada
626
ads
12
31.3
79
0.699681
-- -- Copyright 2018 The wookey project team <wookey@ssi.gouv.fr> -- - Ryad Benadjila -- - Arnauld Michelizza -- - Mathieu Renard -- - Philippe Thierry -- - Philippe Trebuchet -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- -- pragma Restrictions (No_Elaboration_Code); pragma warnings (Off, "use clause for package"); -- distribute interfaces and types to child packages with interfaces; use interfaces; pragma unreferenced (interfaces); with types; use types; pragma unreferenced (types); pragma warnings (On, "use clause for package"); package soc is end soc;
Ada
1,127
ads
65
28.897436
79
0.712511
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- with Program.Elements.Access_Types; with Program.Lexical_Elements; with Program.Elements.Parameter_Specifications; package Program.Elements.Procedure_Access_Types is pragma Pure (Program.Elements.Procedure_Access_Types); type Procedure_Access_Type is limited interface and Program.Elements.Access_Types.Access_Type; type Procedure_Access_Type_Access is access all Procedure_Access_Type'Class with Storage_Size => 0; not overriding function Parameters (Self : Procedure_Access_Type) return Program.Elements.Parameter_Specifications .Parameter_Specification_Vector_Access is abstract; not overriding function Has_Not_Null (Self : Procedure_Access_Type) return Boolean is abstract; not overriding function Has_Protected (Self : Procedure_Access_Type) return Boolean is abstract; type Procedure_Access_Type_Text is limited interface; type Procedure_Access_Type_Text_Access is access all Procedure_Access_Type_Text'Class with Storage_Size => 0; not overriding function To_Procedure_Access_Type_Text (Self : in out Procedure_Access_Type) return Procedure_Access_Type_Text_Access is abstract; not overriding function Not_Token (Self : Procedure_Access_Type_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Null_Token (Self : Procedure_Access_Type_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Access_Token (Self : Procedure_Access_Type_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Protected_Token (Self : Procedure_Access_Type_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Procedure_Token (Self : Procedure_Access_Type_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Left_Bracket_Token (Self : Procedure_Access_Type_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Right_Bracket_Token (Self : Procedure_Access_Type_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; end Program.Elements.Procedure_Access_Types;
Ada
2,525
ads
null
34.589041
78
0.775446
------------------------------------------------------------------------------ -- Copyright (c) 2016, Natacha Porté -- -- -- -- Permission to use, copy, modify, and distribute this software for any -- -- purpose with or without fee is hereby granted, provided that the above -- -- copyright notice and this permission notice appear in all copies. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES -- -- WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF -- -- MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR -- -- ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES -- -- WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN -- -- ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF -- -- OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. -- ------------------------------------------------------------------------------ package Vision is package Directions is type Extended is (Unknown, North, South, East, West); subtype Enum is Extended range North .. West; end Directions; type Detail_Size is range 1 .. 15_000; Minimum_Size : Detail_Size; Maximum_Size : Detail_Size; end Vision;
Ada
1,480
ads
1
49.333333
78
0.538514
-- { dg-do run } -- { dg-options "-fstack-check" } -- This test requires architecture- and OS-specific support code for unwinding -- through signal frames (typically located in *-unwind.h) to pass. Feel free -- to disable it if this code hasn't been implemented yet. procedure Stack_Check2 is function UB return Integer is begin return 2048; end; type A is Array (Positive range <>) of Integer; procedure Consume_Stack (N : Integer) is My_A : A (1..UB); -- 8 KB dynamic begin My_A (1) := 0; if N <= 0 then return; end if; Consume_Stack (N-1); end; Task T; Task body T is begin begin Consume_Stack (Integer'Last); raise Program_Error; exception when Storage_Error => null; end; Consume_Stack (128); end; begin null; end;
Ada
818
adb
7
18.590909
78
0.639364
with Ada.Calendar; with Ada.Directories; with Ada.Text_IO.Text_Streams; with Ada.Unchecked_Conversion; with Interfaces.C.Pointers; with SDL; with SDL.Error; with SDL.Events.Events; with SDL.Events.Keyboards; with SDL.Images.IO; with SDL.Log; -- with SDL.Video.Palettes; with SDL.Video.Pixel_Formats; -- with SDL.Video.Pixels; with SDL.Video.Rectangles; -- with SDL.Video.Renderers.Makers; -- with SDL.Video.Textures.Makers; with SDL.Video.Surfaces; with SDL.Video.Windows.Makers; with SDL.Versions; with System; with System.Address_To_Access_Conversions; procedure Load_Surface is use type Interfaces.C.int; W : SDL.Video.Windows.Window; Window_Size : constant SDL.Positive_Sizes := (Width => 800, Height => 640); begin SDL.Log.Set (Category => SDL.Log.Application, Priority => SDL.Log.Debug); if SDL.Initialise (Flags => SDL.Enable_Screen) = True and SDL.Images.Initialise then SDL.Video.Windows.Makers.Create (Win => W, Title => "Surface (Esc to exit)", Position => SDL.Natural_Coordinates'(X => 100, Y => 100), Size => Window_Size, Flags => SDL.Video.Windows.Resizable); -- Main loop. declare Event : SDL.Events.Events.Events; Window_Surface : SDL.Video.Surfaces.Surface; Image_Surface : SDL.Video.Surfaces.Surface; Image_Area : SDL.Video.Rectangles.Rectangle := (X => 0, Y => 0, Width => 400, Height => 300); Image_Dest_Area : SDL.Video.Rectangles.Rectangle := (X => Window_Size.Width / 2 - Image_Area.Width / 2, Y => Window_Size.Height / 2 - Image_Area.Height / 2, Width => 400, Height => 300); Scaled_Dest_Area : SDL.Video.Rectangles.Rectangle := (X => 10, Y => 10, Width => Image_Area.Width / 4, Height => Image_Area.Height / 4); Scaled_Dest_Area_2 : SDL.Video.Rectangles.Rectangle := (X => 10, Y => 100, Width => Image_Area.Width / 4, Height => Image_Area.Height / 4); Finished : Boolean := False; use type SDL.Events.Event_Types; use type SDL.Events.Keyboards.Key_Codes; use type SDL.Events.Keyboards.Scan_Codes; begin Window_Surface := W.Get_Surface; SDL.Images.IO.Create (Image_Surface, "../../test/assets/sdl_logo_400_300.png"); Window_Surface.Blit (Self_Area => Image_Dest_Area, Source => Image_Surface, Source_Area => Image_Area); Window_Surface.Blit_Scaled (Self_Area => Scaled_Dest_Area, Source => Image_Surface, Source_Area => SDL.Video.Rectangles.Null_Rectangle); Window_Surface.Blit_Scaled (Self_Area => Scaled_Dest_Area_2, Source => Image_Surface, Source_Area => SDL.Video.Rectangles.Rectangle'(X => 0, Y => 0, Width => Image_Area.Width / 2, Height => Image_Area.Height /2)); W.Update_Surface; SDL.Images.IO.Write_PNG (Window_Surface, "load_surface.png"); loop while SDL.Events.Events.Poll (Event) loop case Event.Common.Event_Type is when SDL.Events.Quit => Finished := True; when SDL.Events.Keyboards.Key_Down => if Event.Keyboard.Key_Sym.Key_Code = SDL.Events.Keyboards.Code_Escape then Finished := True; end if; when others => null; end case; end loop; exit when Finished; end loop; end; SDL.Log.Put_Debug (""); W.Finalize; SDL.Images.Finalise; SDL.Finalise; end if; end Load_Surface;
Ada
4,847
adb
1
42.893805
122
0.465855
-- This spec has been automatically generated from STM32L4x6.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package STM32_SVD.RCC is pragma Preelaborate; --------------- -- Registers -- --------------- subtype CR_MSIRANGE_Field is HAL.UInt4; -- Clock control register type CR_Register is record -- MSI clock enable MSION : Boolean := True; -- Read-only. MSI clock ready flag MSIRDY : Boolean := True; -- MSI clock PLL enable MSIPLLEN : Boolean := False; -- Write-only. MSI clock range selection MSIRGSEL : Boolean := False; -- MSI clock ranges MSIRANGE : CR_MSIRANGE_Field := 16#6#; -- HSI clock enable HSION : Boolean := False; -- HSI always enable for peripheral kernels HSIKERON : Boolean := False; -- Read-only. HSI clock ready flag HSIRDY : Boolean := False; -- HSI automatic start from Stop HSIASFS : Boolean := False; -- unspecified Reserved_12_15 : HAL.UInt4 := 16#0#; -- HSE clock enable HSEON : Boolean := False; -- Read-only. HSE clock ready flag HSERDY : Boolean := False; -- HSE crystal oscillator bypass HSEBYP : Boolean := False; -- Write-only. Clock security system enable CSSON : Boolean := False; -- unspecified Reserved_20_23 : HAL.UInt4 := 16#0#; -- Main PLL enable PLLON : Boolean := False; -- Read-only. Main PLL clock ready flag PLLRDY : Boolean := False; -- SAI1 PLL enable PLLSAI1ON : Boolean := False; -- Read-only. SAI1 PLL clock ready flag PLLSAI1RDY : Boolean := False; -- SAI2 PLL enable PLLSAI2ON : Boolean := False; -- Read-only. SAI2 PLL clock ready flag PLLSAI2RDY : Boolean := False; -- unspecified Reserved_30_31 : HAL.UInt2 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CR_Register use record MSION at 0 range 0 .. 0; MSIRDY at 0 range 1 .. 1; MSIPLLEN at 0 range 2 .. 2; MSIRGSEL at 0 range 3 .. 3; MSIRANGE at 0 range 4 .. 7; HSION at 0 range 8 .. 8; HSIKERON at 0 range 9 .. 9; HSIRDY at 0 range 10 .. 10; HSIASFS at 0 range 11 .. 11; Reserved_12_15 at 0 range 12 .. 15; HSEON at 0 range 16 .. 16; HSERDY at 0 range 17 .. 17; HSEBYP at 0 range 18 .. 18; CSSON at 0 range 19 .. 19; Reserved_20_23 at 0 range 20 .. 23; PLLON at 0 range 24 .. 24; PLLRDY at 0 range 25 .. 25; PLLSAI1ON at 0 range 26 .. 26; PLLSAI1RDY at 0 range 27 .. 27; PLLSAI2ON at 0 range 28 .. 28; PLLSAI2RDY at 0 range 29 .. 29; Reserved_30_31 at 0 range 30 .. 31; end record; subtype ICSCR_MSICAL_Field is HAL.UInt8; subtype ICSCR_MSITRIM_Field is HAL.UInt8; subtype ICSCR_HSICAL_Field is HAL.UInt8; subtype ICSCR_HSITRIM_Field is HAL.UInt7; -- Internal clock sources calibration register type ICSCR_Register is record -- Read-only. MSI clock calibration MSICAL : ICSCR_MSICAL_Field := 16#0#; -- MSI clock trimming MSITRIM : ICSCR_MSITRIM_Field := 16#0#; -- Read-only. HSI clock calibration HSICAL : ICSCR_HSICAL_Field := 16#0#; -- HSI clock trimming HSITRIM : ICSCR_HSITRIM_Field := 16#10#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ICSCR_Register use record MSICAL at 0 range 0 .. 7; MSITRIM at 0 range 8 .. 15; HSICAL at 0 range 16 .. 23; HSITRIM at 0 range 24 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; subtype CFGR_SW_Field is HAL.UInt2; subtype CFGR_SWS_Field is HAL.UInt2; subtype CFGR_HPRE_Field is HAL.UInt4; -- CFGR_PPRE array element subtype CFGR_PPRE_Element is HAL.UInt3; -- CFGR_PPRE array type CFGR_PPRE_Field_Array is array (1 .. 2) of CFGR_PPRE_Element with Component_Size => 3, Size => 6; -- Type definition for CFGR_PPRE type CFGR_PPRE_Field (As_Array : Boolean := False) is record case As_Array is when False => -- PPRE as a value Val : HAL.UInt6; when True => -- PPRE as an array Arr : CFGR_PPRE_Field_Array; end case; end record with Unchecked_Union, Size => 6; for CFGR_PPRE_Field use record Val at 0 range 0 .. 5; Arr at 0 range 0 .. 5; end record; subtype CFGR_MCOSEL_Field is HAL.UInt3; subtype CFGR_MCOPRE_Field is HAL.UInt3; -- Clock configuration register type CFGR_Register is record -- System clock switch SW : CFGR_SW_Field := 16#0#; -- Read-only. System clock switch status SWS : CFGR_SWS_Field := 16#0#; -- AHB prescaler HPRE : CFGR_HPRE_Field := 16#0#; -- PB low-speed prescaler (APB1) PPRE : CFGR_PPRE_Field := (As_Array => False, Val => 16#0#); -- unspecified Reserved_14_14 : HAL.Bit := 16#0#; -- Wakeup from Stop and CSS backup clock selection STOPWUCK : Boolean := False; -- unspecified Reserved_16_23 : HAL.UInt8 := 16#0#; -- Microcontroller clock output MCOSEL : CFGR_MCOSEL_Field := 16#0#; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Read-only. Microcontroller clock output prescaler MCOPRE : CFGR_MCOPRE_Field := 16#0#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CFGR_Register use record SW at 0 range 0 .. 1; SWS at 0 range 2 .. 3; HPRE at 0 range 4 .. 7; PPRE at 0 range 8 .. 13; Reserved_14_14 at 0 range 14 .. 14; STOPWUCK at 0 range 15 .. 15; Reserved_16_23 at 0 range 16 .. 23; MCOSEL at 0 range 24 .. 26; Reserved_27_27 at 0 range 27 .. 27; MCOPRE at 0 range 28 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; subtype PLLCFGR_PLLSRC_Field is HAL.UInt2; subtype PLLCFGR_PLLM_Field is HAL.UInt3; subtype PLLCFGR_PLLN_Field is HAL.UInt7; subtype PLLCFGR_PLLQ_Field is HAL.UInt2; subtype PLLCFGR_PLLR_Field is HAL.UInt2; subtype PLLCFGR_PLLPDIV_Field is HAL.UInt5; -- PLL configuration register type PLLCFGR_Register is record -- Main PLL, PLLSAI1 and PLLSAI2 entry clock source PLLSRC : PLLCFGR_PLLSRC_Field := 16#0#; -- unspecified Reserved_2_3 : HAL.UInt2 := 16#0#; -- Division factor for the main PLL and audio PLL (PLLSAI1 and PLLSAI2) -- input clock PLLM : PLLCFGR_PLLM_Field := 16#0#; -- unspecified Reserved_7_7 : HAL.Bit := 16#0#; -- Main PLL multiplication factor for VCO PLLN : PLLCFGR_PLLN_Field := 16#10#; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- Main PLL PLLSAI3CLK output enable PLLPEN : Boolean := False; -- Main PLL division factor for PLLSAI3CLK (SAI1 and SAI2 clock) PLLP : Boolean := False; -- unspecified Reserved_18_19 : HAL.UInt2 := 16#0#; -- Main PLL PLLUSB1CLK output enable PLLQEN : Boolean := False; -- Main PLL division factor for PLLUSB1CLK(48 MHz clock) PLLQ : PLLCFGR_PLLQ_Field := 16#0#; -- unspecified Reserved_23_23 : HAL.Bit := 16#0#; -- Main PLL PLLCLK output enable PLLREN : Boolean := False; -- Main PLL division factor for PLLCLK (system clock) PLLR : PLLCFGR_PLLR_Field := 16#0#; -- Main PLL division factor for PLLSAI2CLK PLLPDIV : PLLCFGR_PLLPDIV_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for PLLCFGR_Register use record PLLSRC at 0 range 0 .. 1; Reserved_2_3 at 0 range 2 .. 3; PLLM at 0 range 4 .. 6; Reserved_7_7 at 0 range 7 .. 7; PLLN at 0 range 8 .. 14; Reserved_15_15 at 0 range 15 .. 15; PLLPEN at 0 range 16 .. 16; PLLP at 0 range 17 .. 17; Reserved_18_19 at 0 range 18 .. 19; PLLQEN at 0 range 20 .. 20; PLLQ at 0 range 21 .. 22; Reserved_23_23 at 0 range 23 .. 23; PLLREN at 0 range 24 .. 24; PLLR at 0 range 25 .. 26; PLLPDIV at 0 range 27 .. 31; end record; subtype PLLSAI1CFGR_PLLSAI1N_Field is HAL.UInt7; subtype PLLSAI1CFGR_PLLSAI1Q_Field is HAL.UInt2; subtype PLLSAI1CFGR_PLLSAI1R_Field is HAL.UInt2; subtype PLLSAI1CFGR_PLLSAI1PDIV_Field is HAL.UInt5; -- PLLSAI1 configuration register type PLLSAI1CFGR_Register is record -- unspecified Reserved_0_7 : HAL.UInt8 := 16#0#; -- SAI1PLL multiplication factor for VCO PLLSAI1N : PLLSAI1CFGR_PLLSAI1N_Field := 16#10#; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- SAI1PLL PLLSAI1CLK output enable PLLSAI1PEN : Boolean := False; -- SAI1PLL division factor for PLLSAI1CLK (SAI1 or SAI2 clock) PLLSAI1P : Boolean := False; -- unspecified Reserved_18_19 : HAL.UInt2 := 16#0#; -- SAI1PLL PLLUSB2CLK output enable PLLSAI1QEN : Boolean := False; -- SAI1PLL division factor for PLLUSB2CLK (48 MHz clock) PLLSAI1Q : PLLSAI1CFGR_PLLSAI1Q_Field := 16#0#; -- unspecified Reserved_23_23 : HAL.Bit := 16#0#; -- PLLSAI1 PLLADC1CLK output enable PLLSAI1REN : Boolean := False; -- PLLSAI1 division factor for PLLADC1CLK (ADC clock) PLLSAI1R : PLLSAI1CFGR_PLLSAI1R_Field := 16#0#; -- PLLSAI1 division factor for PLLSAI1CLK PLLSAI1PDIV : PLLSAI1CFGR_PLLSAI1PDIV_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for PLLSAI1CFGR_Register use record Reserved_0_7 at 0 range 0 .. 7; PLLSAI1N at 0 range 8 .. 14; Reserved_15_15 at 0 range 15 .. 15; PLLSAI1PEN at 0 range 16 .. 16; PLLSAI1P at 0 range 17 .. 17; Reserved_18_19 at 0 range 18 .. 19; PLLSAI1QEN at 0 range 20 .. 20; PLLSAI1Q at 0 range 21 .. 22; Reserved_23_23 at 0 range 23 .. 23; PLLSAI1REN at 0 range 24 .. 24; PLLSAI1R at 0 range 25 .. 26; PLLSAI1PDIV at 0 range 27 .. 31; end record; subtype PLLSAI2CFGR_PLLSAI2N_Field is HAL.UInt7; subtype PLLSAI2CFGR_PLLSAI2R_Field is HAL.UInt2; subtype PLLSAI2CFGR_PLLSAI2PDIV_Field is HAL.UInt5; -- PLLSAI2 configuration register type PLLSAI2CFGR_Register is record -- unspecified Reserved_0_7 : HAL.UInt8 := 16#0#; -- SAI2PLL multiplication factor for VCO PLLSAI2N : PLLSAI2CFGR_PLLSAI2N_Field := 16#10#; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- SAI2PLL PLLSAI2CLK output enable PLLSAI2PEN : Boolean := False; -- SAI1PLL division factor for PLLSAI2CLK (SAI1 or SAI2 clock) PLLSAI2P : Boolean := False; -- unspecified Reserved_18_23 : HAL.UInt6 := 16#0#; -- PLLSAI2 PLLADC2CLK output enable PLLSAI2REN : Boolean := False; -- PLLSAI2 division factor for PLLADC2CLK (ADC clock) PLLSAI2R : PLLSAI2CFGR_PLLSAI2R_Field := 16#0#; -- PLLSAI2 division factor for PLLSAI2CLK PLLSAI2PDIV : PLLSAI2CFGR_PLLSAI2PDIV_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for PLLSAI2CFGR_Register use record Reserved_0_7 at 0 range 0 .. 7; PLLSAI2N at 0 range 8 .. 14; Reserved_15_15 at 0 range 15 .. 15; PLLSAI2PEN at 0 range 16 .. 16; PLLSAI2P at 0 range 17 .. 17; Reserved_18_23 at 0 range 18 .. 23; PLLSAI2REN at 0 range 24 .. 24; PLLSAI2R at 0 range 25 .. 26; PLLSAI2PDIV at 0 range 27 .. 31; end record; -- Clock interrupt enable register type CIER_Register is record -- LSI ready interrupt enable LSIRDYIE : Boolean := False; -- LSE ready interrupt enable LSERDYIE : Boolean := False; -- MSI ready interrupt enable MSIRDYIE : Boolean := False; -- HSI ready interrupt enable HSIRDYIE : Boolean := False; -- HSE ready interrupt enable HSERDYIE : Boolean := False; -- PLL ready interrupt enable PLLRDYIE : Boolean := False; -- PLLSAI1 ready interrupt enable PLLSAI1RDYIE : Boolean := False; -- PLLSAI2 ready interrupt enable PLLSAI2RDYIE : Boolean := False; -- unspecified Reserved_8_8 : HAL.Bit := 16#0#; -- LSE clock security system interrupt enable LSECSSIE : Boolean := False; -- HSI48 ready interrupt enable HSI48RDYIE : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CIER_Register use record LSIRDYIE at 0 range 0 .. 0; LSERDYIE at 0 range 1 .. 1; MSIRDYIE at 0 range 2 .. 2; HSIRDYIE at 0 range 3 .. 3; HSERDYIE at 0 range 4 .. 4; PLLRDYIE at 0 range 5 .. 5; PLLSAI1RDYIE at 0 range 6 .. 6; PLLSAI2RDYIE at 0 range 7 .. 7; Reserved_8_8 at 0 range 8 .. 8; LSECSSIE at 0 range 9 .. 9; HSI48RDYIE at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- Clock interrupt flag register type CIFR_Register is record -- Read-only. LSI ready interrupt flag LSIRDYF : Boolean; -- Read-only. LSE ready interrupt flag LSERDYF : Boolean; -- Read-only. MSI ready interrupt flag MSIRDYF : Boolean; -- Read-only. HSI ready interrupt flag HSIRDYF : Boolean; -- Read-only. HSE ready interrupt flag HSERDYF : Boolean; -- Read-only. PLL ready interrupt flag PLLRDYF : Boolean; -- Read-only. PLLSAI1 ready interrupt flag PLLSAI1RDYF : Boolean; -- Read-only. PLLSAI2 ready interrupt flag PLLSAI2RDYF : Boolean; -- Read-only. Clock security system interrupt flag CSSF : Boolean; -- Read-only. LSE Clock security system interrupt flag LSECSSF : Boolean; -- Read-only. HSI48 ready interrupt flag HSI48RDYF : Boolean; -- unspecified Reserved_11_31 : HAL.UInt21; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CIFR_Register use record LSIRDYF at 0 range 0 .. 0; LSERDYF at 0 range 1 .. 1; MSIRDYF at 0 range 2 .. 2; HSIRDYF at 0 range 3 .. 3; HSERDYF at 0 range 4 .. 4; PLLRDYF at 0 range 5 .. 5; PLLSAI1RDYF at 0 range 6 .. 6; PLLSAI2RDYF at 0 range 7 .. 7; CSSF at 0 range 8 .. 8; LSECSSF at 0 range 9 .. 9; HSI48RDYF at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- Clock interrupt clear register type CICR_Register is record -- Write-only. LSI ready interrupt clear LSIRDYC : Boolean := False; -- Write-only. LSE ready interrupt clear LSERDYC : Boolean := False; -- Write-only. MSI ready interrupt clear MSIRDYC : Boolean := False; -- Write-only. HSI ready interrupt clear HSIRDYC : Boolean := False; -- Write-only. HSE ready interrupt clear HSERDYC : Boolean := False; -- Write-only. PLL ready interrupt clear PLLRDYC : Boolean := False; -- Write-only. PLLSAI1 ready interrupt clear PLLSAI1RDYC : Boolean := False; -- Write-only. PLLSAI2 ready interrupt clear PLLSAI2RDYC : Boolean := False; -- Write-only. Clock security system interrupt clear CSSC : Boolean := False; -- Write-only. LSE Clock security system interrupt clear LSECSSC : Boolean := False; -- Write-only. HSI48 oscillator ready interrupt clear HSI48RDYC : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CICR_Register use record LSIRDYC at 0 range 0 .. 0; LSERDYC at 0 range 1 .. 1; MSIRDYC at 0 range 2 .. 2; HSIRDYC at 0 range 3 .. 3; HSERDYC at 0 range 4 .. 4; PLLRDYC at 0 range 5 .. 5; PLLSAI1RDYC at 0 range 6 .. 6; PLLSAI2RDYC at 0 range 7 .. 7; CSSC at 0 range 8 .. 8; LSECSSC at 0 range 9 .. 9; HSI48RDYC at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- AHB1 peripheral reset register type AHB1RSTR_Register is record -- DMA1 reset DMA1RST : Boolean := False; -- DMA2 reset DMA2RST : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- Flash memory interface reset FLASHRST : Boolean := False; -- unspecified Reserved_9_11 : HAL.UInt3 := 16#0#; -- CRC reset CRCRST : Boolean := False; -- unspecified Reserved_13_15 : HAL.UInt3 := 16#0#; -- Touch Sensing Controller reset TSCRST : Boolean := False; -- DMA2D reset DMA2DRST : Boolean := False; -- unspecified Reserved_18_31 : HAL.UInt14 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB1RSTR_Register use record DMA1RST at 0 range 0 .. 0; DMA2RST at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; FLASHRST at 0 range 8 .. 8; Reserved_9_11 at 0 range 9 .. 11; CRCRST at 0 range 12 .. 12; Reserved_13_15 at 0 range 13 .. 15; TSCRST at 0 range 16 .. 16; DMA2DRST at 0 range 17 .. 17; Reserved_18_31 at 0 range 18 .. 31; end record; -- AHB2 peripheral reset register type AHB2RSTR_Register is record -- IO port A reset GPIOARST : Boolean := False; -- IO port B reset GPIOBRST : Boolean := False; -- IO port C reset GPIOCRST : Boolean := False; -- IO port D reset GPIODRST : Boolean := False; -- IO port E reset GPIOERST : Boolean := False; -- IO port F reset GPIOFRST : Boolean := False; -- IO port G reset GPIOGRST : Boolean := False; -- IO port H reset GPIOHRST : Boolean := False; -- IO port I reset GPIOIRST : Boolean := False; -- unspecified Reserved_9_11 : HAL.UInt3 := 16#0#; -- USB OTG FS reset OTGFSRST : Boolean := False; -- ADC reset ADCRST : Boolean := False; -- Digital Camera Interface reset DCMIRST : Boolean := False; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- AES hardware accelerator reset AESRST : Boolean := False; -- Hash reset HASH1RST : Boolean := False; -- Random number generator reset RNGRST : Boolean := False; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB2RSTR_Register use record GPIOARST at 0 range 0 .. 0; GPIOBRST at 0 range 1 .. 1; GPIOCRST at 0 range 2 .. 2; GPIODRST at 0 range 3 .. 3; GPIOERST at 0 range 4 .. 4; GPIOFRST at 0 range 5 .. 5; GPIOGRST at 0 range 6 .. 6; GPIOHRST at 0 range 7 .. 7; GPIOIRST at 0 range 8 .. 8; Reserved_9_11 at 0 range 9 .. 11; OTGFSRST at 0 range 12 .. 12; ADCRST at 0 range 13 .. 13; DCMIRST at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; AESRST at 0 range 16 .. 16; HASH1RST at 0 range 17 .. 17; RNGRST at 0 range 18 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; -- AHB3 peripheral reset register type AHB3RSTR_Register is record -- Flexible memory controller reset FMCRST : Boolean := False; -- unspecified Reserved_1_7 : HAL.UInt7 := 16#0#; -- Quad SPI memory interface reset QSPIRST : Boolean := False; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB3RSTR_Register use record FMCRST at 0 range 0 .. 0; Reserved_1_7 at 0 range 1 .. 7; QSPIRST at 0 range 8 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; -- APB1 peripheral reset register 1 type APB1RSTR1_Register is record -- TIM2 timer reset TIM2RST : Boolean := False; -- TIM3 timer reset TIM3RST : Boolean := False; -- TIM3 timer reset TIM4RST : Boolean := False; -- TIM5 timer reset TIM5RST : Boolean := False; -- TIM6 timer reset TIM6RST : Boolean := False; -- TIM7 timer reset TIM7RST : Boolean := False; -- unspecified Reserved_6_8 : HAL.UInt3 := 16#0#; -- LCD interface reset LCDRST : Boolean := False; -- unspecified Reserved_10_13 : HAL.UInt4 := 16#0#; -- SPI2 reset SPI2RST : Boolean := False; -- SPI3 reset SPI3RST : Boolean := False; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- USART2 reset USART2RST : Boolean := False; -- USART3 reset USART3RST : Boolean := False; -- UART4 reset UART4RST : Boolean := False; -- UART5 reset UART5RST : Boolean := False; -- I2C1 reset I2C1RST : Boolean := False; -- I2C2 reset I2C2RST : Boolean := False; -- I2C3 reset I2C3RST : Boolean := False; -- CRS reset CRSRST : Boolean := False; -- CAN1 reset CAN1RST : Boolean := False; -- CAN2 reset CAN2RST : Boolean := False; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Power interface reset PWRRST : Boolean := False; -- DAC1 interface reset DAC1RST : Boolean := False; -- OPAMP interface reset OPAMPRST : Boolean := False; -- Low Power Timer 1 reset LPTIM1RST : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1RSTR1_Register use record TIM2RST at 0 range 0 .. 0; TIM3RST at 0 range 1 .. 1; TIM4RST at 0 range 2 .. 2; TIM5RST at 0 range 3 .. 3; TIM6RST at 0 range 4 .. 4; TIM7RST at 0 range 5 .. 5; Reserved_6_8 at 0 range 6 .. 8; LCDRST at 0 range 9 .. 9; Reserved_10_13 at 0 range 10 .. 13; SPI2RST at 0 range 14 .. 14; SPI3RST at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; USART2RST at 0 range 17 .. 17; USART3RST at 0 range 18 .. 18; UART4RST at 0 range 19 .. 19; UART5RST at 0 range 20 .. 20; I2C1RST at 0 range 21 .. 21; I2C2RST at 0 range 22 .. 22; I2C3RST at 0 range 23 .. 23; CRSRST at 0 range 24 .. 24; CAN1RST at 0 range 25 .. 25; CAN2RST at 0 range 26 .. 26; Reserved_27_27 at 0 range 27 .. 27; PWRRST at 0 range 28 .. 28; DAC1RST at 0 range 29 .. 29; OPAMPRST at 0 range 30 .. 30; LPTIM1RST at 0 range 31 .. 31; end record; -- APB1 peripheral reset register 2 type APB1RSTR2_Register is record -- Low-power UART 1 reset LPUART1RST : Boolean := False; -- I2C4 reset I2C4RST : Boolean := False; -- Single wire protocol reset SWPMI1RST : Boolean := False; -- unspecified Reserved_3_4 : HAL.UInt2 := 16#0#; -- Low-power timer 2 reset LPTIM2RST : Boolean := False; -- unspecified Reserved_6_31 : HAL.UInt26 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1RSTR2_Register use record LPUART1RST at 0 range 0 .. 0; I2C4RST at 0 range 1 .. 1; SWPMI1RST at 0 range 2 .. 2; Reserved_3_4 at 0 range 3 .. 4; LPTIM2RST at 0 range 5 .. 5; Reserved_6_31 at 0 range 6 .. 31; end record; -- APB2 peripheral reset register type APB2RSTR_Register is record -- System configuration (SYSCFG) reset SYSCFGRST : Boolean := False; -- unspecified Reserved_1_9 : HAL.UInt9 := 16#0#; -- SDMMC reset SDMMCRST : Boolean := False; -- TIM1 timer reset TIM1RST : Boolean := False; -- SPI1 reset SPI1RST : Boolean := False; -- TIM8 timer reset TIM8RST : Boolean := False; -- USART1 reset USART1RST : Boolean := False; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- TIM15 timer reset TIM15RST : Boolean := False; -- TIM16 timer reset TIM16RST : Boolean := False; -- TIM17 timer reset TIM17RST : Boolean := False; -- unspecified Reserved_19_20 : HAL.UInt2 := 16#0#; -- Serial audio interface 1 (SAI1) reset SAI1RST : Boolean := False; -- Serial audio interface 2 (SAI2) reset SAI2RST : Boolean := False; -- unspecified Reserved_23_23 : HAL.Bit := 16#0#; -- Digital filters for sigma-delata modulators (DFSDM) reset DFSDMRST : Boolean := False; -- unspecified Reserved_25_31 : HAL.UInt7 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB2RSTR_Register use record SYSCFGRST at 0 range 0 .. 0; Reserved_1_9 at 0 range 1 .. 9; SDMMCRST at 0 range 10 .. 10; TIM1RST at 0 range 11 .. 11; SPI1RST at 0 range 12 .. 12; TIM8RST at 0 range 13 .. 13; USART1RST at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; TIM15RST at 0 range 16 .. 16; TIM16RST at 0 range 17 .. 17; TIM17RST at 0 range 18 .. 18; Reserved_19_20 at 0 range 19 .. 20; SAI1RST at 0 range 21 .. 21; SAI2RST at 0 range 22 .. 22; Reserved_23_23 at 0 range 23 .. 23; DFSDMRST at 0 range 24 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; -- AHB1 peripheral clock enable register type AHB1ENR_Register is record -- DMA1 clock enable DMA1EN : Boolean := False; -- DMA2 clock enable DMA2EN : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- Flash memory interface clock enable FLASHEN : Boolean := True; -- unspecified Reserved_9_11 : HAL.UInt3 := 16#0#; -- CRC clock enable CRCEN : Boolean := False; -- unspecified Reserved_13_15 : HAL.UInt3 := 16#0#; -- Touch Sensing Controller clock enable TSCEN : Boolean := False; -- DMA2D clock enable DMA2DEN : Boolean := False; -- unspecified Reserved_18_31 : HAL.UInt14 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB1ENR_Register use record DMA1EN at 0 range 0 .. 0; DMA2EN at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; FLASHEN at 0 range 8 .. 8; Reserved_9_11 at 0 range 9 .. 11; CRCEN at 0 range 12 .. 12; Reserved_13_15 at 0 range 13 .. 15; TSCEN at 0 range 16 .. 16; DMA2DEN at 0 range 17 .. 17; Reserved_18_31 at 0 range 18 .. 31; end record; -- AHB2 peripheral clock enable register type AHB2ENR_Register is record -- IO port A clock enable GPIOAEN : Boolean := False; -- IO port B clock enable GPIOBEN : Boolean := False; -- IO port C clock enable GPIOCEN : Boolean := False; -- IO port D clock enable GPIODEN : Boolean := False; -- IO port E clock enable GPIOEEN : Boolean := False; -- IO port F clock enable GPIOFEN : Boolean := False; -- IO port G clock enable GPIOGEN : Boolean := False; -- IO port H clock enable GPIOHEN : Boolean := False; -- IO port I clock enable GPIOIEN : Boolean := False; -- unspecified Reserved_9_11 : HAL.UInt3 := 16#0#; -- OTG full speed clock enable OTGFSEN : Boolean := False; -- ADC clock enable ADCEN : Boolean := False; -- DCMI clock enable DCMIEN : Boolean := False; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- AES accelerator clock enable AESEN : Boolean := False; -- HASH clock enable HASH1EN : Boolean := False; -- Random Number Generator clock enable RNGEN : Boolean := False; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB2ENR_Register use record GPIOAEN at 0 range 0 .. 0; GPIOBEN at 0 range 1 .. 1; GPIOCEN at 0 range 2 .. 2; GPIODEN at 0 range 3 .. 3; GPIOEEN at 0 range 4 .. 4; GPIOFEN at 0 range 5 .. 5; GPIOGEN at 0 range 6 .. 6; GPIOHEN at 0 range 7 .. 7; GPIOIEN at 0 range 8 .. 8; Reserved_9_11 at 0 range 9 .. 11; OTGFSEN at 0 range 12 .. 12; ADCEN at 0 range 13 .. 13; DCMIEN at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; AESEN at 0 range 16 .. 16; HASH1EN at 0 range 17 .. 17; RNGEN at 0 range 18 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; -- AHB3 peripheral clock enable register type AHB3ENR_Register is record -- Flexible memory controller clock enable FMCEN : Boolean := False; -- unspecified Reserved_1_7 : HAL.UInt7 := 16#0#; -- QSPIEN QSPIEN : Boolean := False; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB3ENR_Register use record FMCEN at 0 range 0 .. 0; Reserved_1_7 at 0 range 1 .. 7; QSPIEN at 0 range 8 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; -- APB1ENR1 type APB1ENR1_Register is record -- TIM2 timer clock enable TIM2EN : Boolean := False; -- TIM3 timer clock enable TIM3EN : Boolean := False; -- TIM4 timer clock enable TIM4EN : Boolean := False; -- TIM5 timer clock enable TIM5EN : Boolean := False; -- TIM6 timer clock enable TIM6EN : Boolean := False; -- TIM7 timer clock enable TIM7EN : Boolean := False; -- unspecified Reserved_6_8 : HAL.UInt3 := 16#0#; -- LCD clock enable LCDEN : Boolean := False; -- RTC APB clock enable RTCAPBEN : Boolean := False; -- Window watchdog clock enable WWDGEN : Boolean := False; -- unspecified Reserved_12_13 : HAL.UInt2 := 16#0#; -- SPI2 clock enable SPI2EN : Boolean := False; -- SPI3 clock enable SPI3EN : Boolean := False; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- USART2 clock enable USART2EN : Boolean := False; -- USART3 clock enable USART3EN : Boolean := False; -- UART4 clock enable UART4EN : Boolean := False; -- UART5 clock enable UART5EN : Boolean := False; -- I2C1 clock enable I2C1EN : Boolean := False; -- I2C2 clock enable I2C2EN : Boolean := False; -- I2C3 clock enable I2C3EN : Boolean := False; -- Clock Recovery System clock enable CRSEN : Boolean := False; -- CAN1 clock enable CAN1EN : Boolean := False; -- CAN2 clock enable CAN2EN : Boolean := False; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Power interface clock enable PWREN : Boolean := False; -- DAC1 interface clock enable DAC1EN : Boolean := False; -- OPAMP interface clock enable OPAMPEN : Boolean := False; -- Low power timer 1 clock enable LPTIM1EN : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1ENR1_Register use record TIM2EN at 0 range 0 .. 0; TIM3EN at 0 range 1 .. 1; TIM4EN at 0 range 2 .. 2; TIM5EN at 0 range 3 .. 3; TIM6EN at 0 range 4 .. 4; TIM7EN at 0 range 5 .. 5; Reserved_6_8 at 0 range 6 .. 8; LCDEN at 0 range 9 .. 9; RTCAPBEN at 0 range 10 .. 10; WWDGEN at 0 range 11 .. 11; Reserved_12_13 at 0 range 12 .. 13; SPI2EN at 0 range 14 .. 14; SPI3EN at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; USART2EN at 0 range 17 .. 17; USART3EN at 0 range 18 .. 18; UART4EN at 0 range 19 .. 19; UART5EN at 0 range 20 .. 20; I2C1EN at 0 range 21 .. 21; I2C2EN at 0 range 22 .. 22; I2C3EN at 0 range 23 .. 23; CRSEN at 0 range 24 .. 24; CAN1EN at 0 range 25 .. 25; CAN2EN at 0 range 26 .. 26; Reserved_27_27 at 0 range 27 .. 27; PWREN at 0 range 28 .. 28; DAC1EN at 0 range 29 .. 29; OPAMPEN at 0 range 30 .. 30; LPTIM1EN at 0 range 31 .. 31; end record; -- APB1 peripheral clock enable register 2 type APB1ENR2_Register is record -- Low power UART 1 clock enable LPUART1EN : Boolean := False; -- I2C4 clock enable I2C4EN : Boolean := False; -- Single wire protocol clock enable SWPMI1EN : Boolean := False; -- unspecified Reserved_3_4 : HAL.UInt2 := 16#0#; -- LPTIM2EN LPTIM2EN : Boolean := False; -- unspecified Reserved_6_31 : HAL.UInt26 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1ENR2_Register use record LPUART1EN at 0 range 0 .. 0; I2C4EN at 0 range 1 .. 1; SWPMI1EN at 0 range 2 .. 2; Reserved_3_4 at 0 range 3 .. 4; LPTIM2EN at 0 range 5 .. 5; Reserved_6_31 at 0 range 6 .. 31; end record; -- APB2ENR type APB2ENR_Register is record -- SYSCFG clock enable SYSCFGEN : Boolean := False; -- unspecified Reserved_1_6 : HAL.UInt6 := 16#0#; -- Firewall clock enable FIREWALLEN : Boolean := False; -- unspecified Reserved_8_9 : HAL.UInt2 := 16#0#; -- SDMMC clock enable SDMMCEN : Boolean := False; -- TIM1 timer clock enable TIM1EN : Boolean := False; -- SPI1 clock enable SPI1EN : Boolean := False; -- TIM8 timer clock enable TIM8EN : Boolean := False; -- USART1clock enable USART1EN : Boolean := False; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- TIM15 timer clock enable TIM15EN : Boolean := False; -- TIM16 timer clock enable TIM16EN : Boolean := False; -- TIM17 timer clock enable TIM17EN : Boolean := False; -- unspecified Reserved_19_20 : HAL.UInt2 := 16#0#; -- SAI1 clock enable SAI1EN : Boolean := False; -- SAI2 clock enable SAI2EN : Boolean := False; -- unspecified Reserved_23_23 : HAL.Bit := 16#0#; -- DFSDM timer clock enable DFSDMEN : Boolean := False; -- unspecified Reserved_25_31 : HAL.UInt7 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB2ENR_Register use record SYSCFGEN at 0 range 0 .. 0; Reserved_1_6 at 0 range 1 .. 6; FIREWALLEN at 0 range 7 .. 7; Reserved_8_9 at 0 range 8 .. 9; SDMMCEN at 0 range 10 .. 10; TIM1EN at 0 range 11 .. 11; SPI1EN at 0 range 12 .. 12; TIM8EN at 0 range 13 .. 13; USART1EN at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; TIM15EN at 0 range 16 .. 16; TIM16EN at 0 range 17 .. 17; TIM17EN at 0 range 18 .. 18; Reserved_19_20 at 0 range 19 .. 20; SAI1EN at 0 range 21 .. 21; SAI2EN at 0 range 22 .. 22; Reserved_23_23 at 0 range 23 .. 23; DFSDMEN at 0 range 24 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; -- AHB1 peripheral clocks enable in Sleep and Stop modes register type AHB1SMENR_Register is record -- DMA1 clocks enable during Sleep and Stop modes DMA1SMEN : Boolean := True; -- DMA2 clocks enable during Sleep and Stop modes DMA2SMEN : Boolean := True; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- Flash memory interface clocks enable during Sleep and Stop modes FLASHSMEN : Boolean := True; -- SRAM1 interface clocks enable during Sleep and Stop modes SRAM1SMEN : Boolean := True; -- unspecified Reserved_10_11 : HAL.UInt2 := 16#0#; -- CRCSMEN CRCSMEN : Boolean := True; -- unspecified Reserved_13_15 : HAL.UInt3 := 16#0#; -- Touch Sensing Controller clocks enable during Sleep and Stop modes TSCSMEN : Boolean := True; -- DMA2D clock enable during Sleep and Stop modes DMA2DSMEN : Boolean := False; -- unspecified Reserved_18_31 : HAL.UInt14 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB1SMENR_Register use record DMA1SMEN at 0 range 0 .. 0; DMA2SMEN at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; FLASHSMEN at 0 range 8 .. 8; SRAM1SMEN at 0 range 9 .. 9; Reserved_10_11 at 0 range 10 .. 11; CRCSMEN at 0 range 12 .. 12; Reserved_13_15 at 0 range 13 .. 15; TSCSMEN at 0 range 16 .. 16; DMA2DSMEN at 0 range 17 .. 17; Reserved_18_31 at 0 range 18 .. 31; end record; -- AHB2 peripheral clocks enable in Sleep and Stop modes register type AHB2SMENR_Register is record -- IO port A clocks enable during Sleep and Stop modes GPIOASMEN : Boolean := True; -- IO port B clocks enable during Sleep and Stop modes GPIOBSMEN : Boolean := True; -- IO port C clocks enable during Sleep and Stop modes GPIOCSMEN : Boolean := True; -- IO port D clocks enable during Sleep and Stop modes GPIODSMEN : Boolean := True; -- IO port E clocks enable during Sleep and Stop modes GPIOESMEN : Boolean := True; -- IO port F clocks enable during Sleep and Stop modes GPIOFSMEN : Boolean := True; -- IO port G clocks enable during Sleep and Stop modes GPIOGSMEN : Boolean := True; -- IO port H clocks enable during Sleep and Stop modes GPIOHSMEN : Boolean := True; -- IO port I clocks enable during Sleep and Stop modes GPIOISMEN : Boolean := False; -- SRAM2 interface clocks enable during Sleep and Stop modes SRAM2SMEN : Boolean := True; -- unspecified Reserved_10_11 : HAL.UInt2 := 16#0#; -- OTG full speed clocks enable during Sleep and Stop modes OTGFSSMEN : Boolean := True; -- ADC clocks enable during Sleep and Stop modes ADCFSSMEN : Boolean := True; -- DCMI clock enable during Sleep and Stop modes DCMISMEN : Boolean := False; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- AES accelerator clocks enable during Sleep and Stop modes AESSMEN : Boolean := True; -- HASH clock enable during Sleep and Stop modes HASH1SMEN : Boolean := False; -- Random Number Generator clocks enable during Sleep and Stop modes RNGSMEN : Boolean := True; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB2SMENR_Register use record GPIOASMEN at 0 range 0 .. 0; GPIOBSMEN at 0 range 1 .. 1; GPIOCSMEN at 0 range 2 .. 2; GPIODSMEN at 0 range 3 .. 3; GPIOESMEN at 0 range 4 .. 4; GPIOFSMEN at 0 range 5 .. 5; GPIOGSMEN at 0 range 6 .. 6; GPIOHSMEN at 0 range 7 .. 7; GPIOISMEN at 0 range 8 .. 8; SRAM2SMEN at 0 range 9 .. 9; Reserved_10_11 at 0 range 10 .. 11; OTGFSSMEN at 0 range 12 .. 12; ADCFSSMEN at 0 range 13 .. 13; DCMISMEN at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; AESSMEN at 0 range 16 .. 16; HASH1SMEN at 0 range 17 .. 17; RNGSMEN at 0 range 18 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; -- AHB3 peripheral clocks enable in Sleep and Stop modes register type AHB3SMENR_Register is record -- Flexible memory controller clocks enable during Sleep and Stop modes FMCSMEN : Boolean := True; -- unspecified Reserved_1_7 : HAL.UInt7 := 16#0#; -- QSPISMEN QSPISMEN : Boolean := True; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AHB3SMENR_Register use record FMCSMEN at 0 range 0 .. 0; Reserved_1_7 at 0 range 1 .. 7; QSPISMEN at 0 range 8 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; -- APB1SMENR1 type APB1SMENR1_Register is record -- TIM2 timer clocks enable during Sleep and Stop modes TIM2SMEN : Boolean := True; -- TIM3 timer clocks enable during Sleep and Stop modes TIM3SMEN : Boolean := True; -- TIM4 timer clocks enable during Sleep and Stop modes TIM4SMEN : Boolean := True; -- TIM5 timer clocks enable during Sleep and Stop modes TIM5SMEN : Boolean := True; -- TIM6 timer clocks enable during Sleep and Stop modes TIM6SMEN : Boolean := True; -- TIM7 timer clocks enable during Sleep and Stop modes TIM7SMEN : Boolean := True; -- unspecified Reserved_6_8 : HAL.UInt3 := 16#0#; -- LCD clocks enable during Sleep and Stop modes LCDSMEN : Boolean := True; -- RTC APB clock enable during Sleep and Stop modes RTCAPBSMEN : Boolean := False; -- Window watchdog clocks enable during Sleep and Stop modes WWDGSMEN : Boolean := True; -- unspecified Reserved_12_13 : HAL.UInt2 := 16#0#; -- SPI2 clocks enable during Sleep and Stop modes SPI2SMEN : Boolean := True; -- SPI3 clocks enable during Sleep and Stop modes SPI3SMEN : Boolean := True; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- USART2 clocks enable during Sleep and Stop modes USART2SMEN : Boolean := True; -- USART3 clocks enable during Sleep and Stop modes USART3SMEN : Boolean := True; -- UART4 clocks enable during Sleep and Stop modes UART4SMEN : Boolean := True; -- UART5 clocks enable during Sleep and Stop modes UART5SMEN : Boolean := True; -- I2C1 clocks enable during Sleep and Stop modes I2C1SMEN : Boolean := True; -- I2C2 clocks enable during Sleep and Stop modes I2C2SMEN : Boolean := True; -- I2C3 clocks enable during Sleep and Stop modes I2C3SMEN : Boolean := True; -- unspecified Reserved_24_24 : HAL.Bit := 16#0#; -- CAN1 clocks enable during Sleep and Stop modes CAN1SMEN : Boolean := True; -- CAN2 clocks enable during Sleep and Stop modes CAN2SMEN : Boolean := False; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Power interface clocks enable during Sleep and Stop modes PWRSMEN : Boolean := True; -- DAC1 interface clocks enable during Sleep and Stop modes DAC1SMEN : Boolean := True; -- OPAMP interface clocks enable during Sleep and Stop modes OPAMPSMEN : Boolean := True; -- Low power timer 1 clocks enable during Sleep and Stop modes LPTIM1SMEN : Boolean := True; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1SMENR1_Register use record TIM2SMEN at 0 range 0 .. 0; TIM3SMEN at 0 range 1 .. 1; TIM4SMEN at 0 range 2 .. 2; TIM5SMEN at 0 range 3 .. 3; TIM6SMEN at 0 range 4 .. 4; TIM7SMEN at 0 range 5 .. 5; Reserved_6_8 at 0 range 6 .. 8; LCDSMEN at 0 range 9 .. 9; RTCAPBSMEN at 0 range 10 .. 10; WWDGSMEN at 0 range 11 .. 11; Reserved_12_13 at 0 range 12 .. 13; SPI2SMEN at 0 range 14 .. 14; SPI3SMEN at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; USART2SMEN at 0 range 17 .. 17; USART3SMEN at 0 range 18 .. 18; UART4SMEN at 0 range 19 .. 19; UART5SMEN at 0 range 20 .. 20; I2C1SMEN at 0 range 21 .. 21; I2C2SMEN at 0 range 22 .. 22; I2C3SMEN at 0 range 23 .. 23; Reserved_24_24 at 0 range 24 .. 24; CAN1SMEN at 0 range 25 .. 25; CAN2SMEN at 0 range 26 .. 26; Reserved_27_27 at 0 range 27 .. 27; PWRSMEN at 0 range 28 .. 28; DAC1SMEN at 0 range 29 .. 29; OPAMPSMEN at 0 range 30 .. 30; LPTIM1SMEN at 0 range 31 .. 31; end record; -- APB1 peripheral clocks enable in Sleep and Stop modes register 2 type APB1SMENR2_Register is record -- Low power UART 1 clocks enable during Sleep and Stop modes LPUART1SMEN : Boolean := True; -- I2C4 clocks enable during Sleep and Stop modes I2C4SMEN : Boolean := False; -- Single wire protocol clocks enable during Sleep and Stop modes SWPMI1SMEN : Boolean := True; -- unspecified Reserved_3_4 : HAL.UInt2 := 16#0#; -- LPTIM2SMEN LPTIM2SMEN : Boolean := True; -- unspecified Reserved_6_31 : HAL.UInt26 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB1SMENR2_Register use record LPUART1SMEN at 0 range 0 .. 0; I2C4SMEN at 0 range 1 .. 1; SWPMI1SMEN at 0 range 2 .. 2; Reserved_3_4 at 0 range 3 .. 4; LPTIM2SMEN at 0 range 5 .. 5; Reserved_6_31 at 0 range 6 .. 31; end record; -- APB2SMENR type APB2SMENR_Register is record -- SYSCFG clocks enable during Sleep and Stop modes SYSCFGSMEN : Boolean := True; -- unspecified Reserved_1_9 : HAL.UInt9 := 16#0#; -- SDMMC clocks enable during Sleep and Stop modes SDMMCSMEN : Boolean := True; -- TIM1 timer clocks enable during Sleep and Stop modes TIM1SMEN : Boolean := True; -- SPI1 clocks enable during Sleep and Stop modes SPI1SMEN : Boolean := True; -- TIM8 timer clocks enable during Sleep and Stop modes TIM8SMEN : Boolean := True; -- USART1clocks enable during Sleep and Stop modes USART1SMEN : Boolean := True; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- TIM15 timer clocks enable during Sleep and Stop modes TIM15SMEN : Boolean := True; -- TIM16 timer clocks enable during Sleep and Stop modes TIM16SMEN : Boolean := True; -- TIM17 timer clocks enable during Sleep and Stop modes TIM17SMEN : Boolean := True; -- unspecified Reserved_19_20 : HAL.UInt2 := 16#0#; -- SAI1 clocks enable during Sleep and Stop modes SAI1SMEN : Boolean := True; -- SAI2 clocks enable during Sleep and Stop modes SAI2SMEN : Boolean := True; -- unspecified Reserved_23_23 : HAL.Bit := 16#0#; -- DFSDM timer clocks enable during Sleep and Stop modes DFSDMSMEN : Boolean := True; -- unspecified Reserved_25_31 : HAL.UInt7 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for APB2SMENR_Register use record SYSCFGSMEN at 0 range 0 .. 0; Reserved_1_9 at 0 range 1 .. 9; SDMMCSMEN at 0 range 10 .. 10; TIM1SMEN at 0 range 11 .. 11; SPI1SMEN at 0 range 12 .. 12; TIM8SMEN at 0 range 13 .. 13; USART1SMEN at 0 range 14 .. 14; Reserved_15_15 at 0 range 15 .. 15; TIM15SMEN at 0 range 16 .. 16; TIM16SMEN at 0 range 17 .. 17; TIM17SMEN at 0 range 18 .. 18; Reserved_19_20 at 0 range 19 .. 20; SAI1SMEN at 0 range 21 .. 21; SAI2SMEN at 0 range 22 .. 22; Reserved_23_23 at 0 range 23 .. 23; DFSDMSMEN at 0 range 24 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; subtype CCIPR_USART1SEL_Field is HAL.UInt2; subtype CCIPR_USART2SEL_Field is HAL.UInt2; subtype CCIPR_USART3SEL_Field is HAL.UInt2; subtype CCIPR_UART4SEL_Field is HAL.UInt2; subtype CCIPR_UART5SEL_Field is HAL.UInt2; subtype CCIPR_LPUART1SEL_Field is HAL.UInt2; subtype CCIPR_I2C1SEL_Field is HAL.UInt2; subtype CCIPR_I2C2SEL_Field is HAL.UInt2; subtype CCIPR_I2C3SEL_Field is HAL.UInt2; subtype CCIPR_LPTIM1SEL_Field is HAL.UInt2; subtype CCIPR_LPTIM2SEL_Field is HAL.UInt2; subtype CCIPR_SAI1SEL_Field is HAL.UInt2; subtype CCIPR_SAI2SEL_Field is HAL.UInt2; subtype CCIPR_CLK48SEL_Field is HAL.UInt2; subtype CCIPR_ADCSEL_Field is HAL.UInt2; -- CCIPR type CCIPR_Register is record -- USART1 clock source selection USART1SEL : CCIPR_USART1SEL_Field := 16#0#; -- USART2 clock source selection USART2SEL : CCIPR_USART2SEL_Field := 16#0#; -- USART3 clock source selection USART3SEL : CCIPR_USART3SEL_Field := 16#0#; -- UART4 clock source selection UART4SEL : CCIPR_UART4SEL_Field := 16#0#; -- UART5 clock source selection UART5SEL : CCIPR_UART5SEL_Field := 16#0#; -- LPUART1 clock source selection LPUART1SEL : CCIPR_LPUART1SEL_Field := 16#0#; -- I2C1 clock source selection I2C1SEL : CCIPR_I2C1SEL_Field := 16#0#; -- I2C2 clock source selection I2C2SEL : CCIPR_I2C2SEL_Field := 16#0#; -- I2C3 clock source selection I2C3SEL : CCIPR_I2C3SEL_Field := 16#0#; -- Low power timer 1 clock source selection LPTIM1SEL : CCIPR_LPTIM1SEL_Field := 16#0#; -- Low power timer 2 clock source selection LPTIM2SEL : CCIPR_LPTIM2SEL_Field := 16#0#; -- SAI1 clock source selection SAI1SEL : CCIPR_SAI1SEL_Field := 16#0#; -- SAI2 clock source selection SAI2SEL : CCIPR_SAI2SEL_Field := 16#0#; -- 48 MHz clock source selection CLK48SEL : CCIPR_CLK48SEL_Field := 16#0#; -- ADCs clock source selection ADCSEL : CCIPR_ADCSEL_Field := 16#0#; -- SWPMI1 clock source selection SWPMI1SEL : Boolean := False; -- DFSDM clock source selection DFSDMSEL : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CCIPR_Register use record USART1SEL at 0 range 0 .. 1; USART2SEL at 0 range 2 .. 3; USART3SEL at 0 range 4 .. 5; UART4SEL at 0 range 6 .. 7; UART5SEL at 0 range 8 .. 9; LPUART1SEL at 0 range 10 .. 11; I2C1SEL at 0 range 12 .. 13; I2C2SEL at 0 range 14 .. 15; I2C3SEL at 0 range 16 .. 17; LPTIM1SEL at 0 range 18 .. 19; LPTIM2SEL at 0 range 20 .. 21; SAI1SEL at 0 range 22 .. 23; SAI2SEL at 0 range 24 .. 25; CLK48SEL at 0 range 26 .. 27; ADCSEL at 0 range 28 .. 29; SWPMI1SEL at 0 range 30 .. 30; DFSDMSEL at 0 range 31 .. 31; end record; subtype BDCR_LSEDRV_Field is HAL.UInt2; subtype BDCR_RTCSEL_Field is HAL.UInt2; -- BDCR type BDCR_Register is record -- LSE oscillator enable LSEON : Boolean := False; -- Read-only. LSE oscillator ready LSERDY : Boolean := False; -- LSE oscillator bypass LSEBYP : Boolean := False; -- SE oscillator drive capability LSEDRV : BDCR_LSEDRV_Field := 16#0#; -- LSECSSON LSECSSON : Boolean := False; -- Read-only. LSECSSD LSECSSD : Boolean := False; -- unspecified Reserved_7_7 : HAL.Bit := 16#0#; -- RTC clock source selection RTCSEL : BDCR_RTCSEL_Field := 16#0#; -- unspecified Reserved_10_14 : HAL.UInt5 := 16#0#; -- RTC clock enable RTCEN : Boolean := False; -- Backup domain software reset BDRST : Boolean := False; -- unspecified Reserved_17_23 : HAL.UInt7 := 16#0#; -- Low speed clock output enable LSCOEN : Boolean := False; -- Low speed clock output selection LSCOSEL : Boolean := False; -- unspecified Reserved_26_31 : HAL.UInt6 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BDCR_Register use record LSEON at 0 range 0 .. 0; LSERDY at 0 range 1 .. 1; LSEBYP at 0 range 2 .. 2; LSEDRV at 0 range 3 .. 4; LSECSSON at 0 range 5 .. 5; LSECSSD at 0 range 6 .. 6; Reserved_7_7 at 0 range 7 .. 7; RTCSEL at 0 range 8 .. 9; Reserved_10_14 at 0 range 10 .. 14; RTCEN at 0 range 15 .. 15; BDRST at 0 range 16 .. 16; Reserved_17_23 at 0 range 17 .. 23; LSCOEN at 0 range 24 .. 24; LSCOSEL at 0 range 25 .. 25; Reserved_26_31 at 0 range 26 .. 31; end record; subtype CSR_MSISRANGE_Field is HAL.UInt4; -- CSR type CSR_Register is record -- LSI oscillator enable LSION : Boolean := False; -- Read-only. LSI oscillator ready LSIRDY : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- SI range after Standby mode MSISRANGE : CSR_MSISRANGE_Field := 16#6#; -- unspecified Reserved_12_22 : HAL.UInt11 := 16#0#; -- Remove reset flag RMVF : Boolean := False; -- Read-only. Firewall reset flag FIREWALLRSTF : Boolean := False; -- Read-only. Option byte loader reset flag OBLRSTF : Boolean := False; -- Read-only. Pin reset flag PINRSTF : Boolean := True; -- Read-only. BOR flag BORRSTF : Boolean := True; -- Read-only. Software reset flag SFTRSTF : Boolean := False; -- Read-only. Independent window watchdog reset flag IWDGRSTF : Boolean := False; -- Read-only. Window watchdog reset flag WWDGRSTF : Boolean := False; -- Read-only. Low-power reset flag LPWRSTF : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CSR_Register use record LSION at 0 range 0 .. 0; LSIRDY at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; MSISRANGE at 0 range 8 .. 11; Reserved_12_22 at 0 range 12 .. 22; RMVF at 0 range 23 .. 23; FIREWALLRSTF at 0 range 24 .. 24; OBLRSTF at 0 range 25 .. 25; PINRSTF at 0 range 26 .. 26; BORRSTF at 0 range 27 .. 27; SFTRSTF at 0 range 28 .. 28; IWDGRSTF at 0 range 29 .. 29; WWDGRSTF at 0 range 30 .. 30; LPWRSTF at 0 range 31 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Reset and clock control type RCC_Peripheral is record -- Clock control register CR : aliased CR_Register; -- Internal clock sources calibration register ICSCR : aliased ICSCR_Register; -- Clock configuration register CFGR : aliased CFGR_Register; -- PLL configuration register PLLCFGR : aliased PLLCFGR_Register; -- PLLSAI1 configuration register PLLSAI1CFGR : aliased PLLSAI1CFGR_Register; -- PLLSAI2 configuration register PLLSAI2CFGR : aliased PLLSAI2CFGR_Register; -- Clock interrupt enable register CIER : aliased CIER_Register; -- Clock interrupt flag register CIFR : aliased CIFR_Register; -- Clock interrupt clear register CICR : aliased CICR_Register; -- AHB1 peripheral reset register AHB1RSTR : aliased AHB1RSTR_Register; -- AHB2 peripheral reset register AHB2RSTR : aliased AHB2RSTR_Register; -- AHB3 peripheral reset register AHB3RSTR : aliased AHB3RSTR_Register; -- APB1 peripheral reset register 1 APB1RSTR1 : aliased APB1RSTR1_Register; -- APB1 peripheral reset register 2 APB1RSTR2 : aliased APB1RSTR2_Register; -- APB2 peripheral reset register APB2RSTR : aliased APB2RSTR_Register; -- AHB1 peripheral clock enable register AHB1ENR : aliased AHB1ENR_Register; -- AHB2 peripheral clock enable register AHB2ENR : aliased AHB2ENR_Register; -- AHB3 peripheral clock enable register AHB3ENR : aliased AHB3ENR_Register; -- APB1ENR1 APB1ENR1 : aliased APB1ENR1_Register; -- APB1 peripheral clock enable register 2 APB1ENR2 : aliased APB1ENR2_Register; -- APB2ENR APB2ENR : aliased APB2ENR_Register; -- AHB1 peripheral clocks enable in Sleep and Stop modes register AHB1SMENR : aliased AHB1SMENR_Register; -- AHB2 peripheral clocks enable in Sleep and Stop modes register AHB2SMENR : aliased AHB2SMENR_Register; -- AHB3 peripheral clocks enable in Sleep and Stop modes register AHB3SMENR : aliased AHB3SMENR_Register; -- APB1SMENR1 APB1SMENR1 : aliased APB1SMENR1_Register; -- APB1 peripheral clocks enable in Sleep and Stop modes register 2 APB1SMENR2 : aliased APB1SMENR2_Register; -- APB2SMENR APB2SMENR : aliased APB2SMENR_Register; -- CCIPR CCIPR : aliased CCIPR_Register; -- BDCR BDCR : aliased BDCR_Register; -- CSR CSR : aliased CSR_Register; end record with Volatile; for RCC_Peripheral use record CR at 16#0# range 0 .. 31; ICSCR at 16#4# range 0 .. 31; CFGR at 16#8# range 0 .. 31; PLLCFGR at 16#C# range 0 .. 31; PLLSAI1CFGR at 16#10# range 0 .. 31; PLLSAI2CFGR at 16#14# range 0 .. 31; CIER at 16#18# range 0 .. 31; CIFR at 16#1C# range 0 .. 31; CICR at 16#20# range 0 .. 31; AHB1RSTR at 16#28# range 0 .. 31; AHB2RSTR at 16#2C# range 0 .. 31; AHB3RSTR at 16#30# range 0 .. 31; APB1RSTR1 at 16#38# range 0 .. 31; APB1RSTR2 at 16#3C# range 0 .. 31; APB2RSTR at 16#40# range 0 .. 31; AHB1ENR at 16#48# range 0 .. 31; AHB2ENR at 16#4C# range 0 .. 31; AHB3ENR at 16#50# range 0 .. 31; APB1ENR1 at 16#58# range 0 .. 31; APB1ENR2 at 16#5C# range 0 .. 31; APB2ENR at 16#60# range 0 .. 31; AHB1SMENR at 16#68# range 0 .. 31; AHB2SMENR at 16#6C# range 0 .. 31; AHB3SMENR at 16#70# range 0 .. 31; APB1SMENR1 at 16#78# range 0 .. 31; APB1SMENR2 at 16#7C# range 0 .. 31; APB2SMENR at 16#80# range 0 .. 31; CCIPR at 16#88# range 0 .. 31; BDCR at 16#90# range 0 .. 31; CSR at 16#94# range 0 .. 31; end record; -- Reset and clock control RCC_Periph : aliased RCC_Peripheral with Import, Address => System'To_Address (16#40021000#); end STM32_SVD.RCC;
Ada
63,527
ads
2
37.324912
78
0.565602
with Ada.Command_Line; with Ada.Text_IO; procedure Test is Iterations : Positive := Positive'Value (Ada.Command_Line.Argument (1)); protected Buffer is entry Put (X : in Boolean); entry Get (X : out Boolean); private Value : Boolean; Full : Boolean := False; end Buffer; protected body Buffer is entry Put (X : in Boolean) when not Full is begin Value := X; Full := True; end Put; entry Get (X : out Boolean) when Full is begin X := Value; Full := False; end Get; end Buffer; task Producer; task body Producer is begin for I in 1 .. Iterations - 1 loop Buffer.Put (False); end loop; Buffer.Put (True); end Producer; task Consumer; task body Consumer is X : Boolean; Count : Natural := 0; begin loop Buffer.Get (X); Count := Count + 1; exit when X; end loop; Ada.Text_IO.Put_Line ("Executed " & Natural'Image (Count) & " iterations"); end Consumer; begin null; end Test;
Ada
1,118
adb
4
19.964286
62
0.555456
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- M A K E U S G -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Procedure to output usage information for gnatmake procedure Makeusg; -- Output gnatmake usage information
Ada
2,010
ads
null
67
78
0.389055
with Ada.Text_IO; with TOML; with TOML.File_IO; procedure Main is Value : constant TOML.TOML_Value := TOML.File_IO.Load_File ("example.toml").Value; begin Value.Unset ("array"); Ada.Text_IO.Put_Line (Value.Dump_As_String); end Main;
Ada
250
adb
19
19.230769
52
0.716
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>pointOnSegment</name> <ret_bitwidth>1</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>p_x</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>p_y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>p_z</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>e_p1_x</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>e_p1_y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>e_p1_z</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>e_p2_x</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_b</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>e_p2_y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_b</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>e_p2_z</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_b</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>149</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_10"> <Value> <Obj> <type>0</type> <id>10</id> <name>e_p2_z_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>161</item> <item>162</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>11</id> <name>e_p2_y_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>163</item> <item>164</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>12</id> <name>e_p2_x_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>165</item> <item>166</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>13</id> <name>e_p1_z_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>167</item> <item>168</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>14</id> <name>e_p1_y_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>169</item> <item>170</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>15</id> <name>e_p1_x_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>171</item> <item>172</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>16</id> <name>p_z_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>173</item> <item>174</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>17</id> <name>p_y_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>175</item> <item>176</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>18</id> <name>p_x_read</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>177</item> <item>178</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>19</id> <name>bitcast_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>179</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>20</id> <name>tmp</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>181</item> <item>182</item> <item>184</item> <item>186</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>21</id> <name>trunc_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>187</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>22</id> <name>bitcast_ln47_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>188</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>23</id> <name>tmp_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>189</item> <item>190</item> <item>191</item> <item>192</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>24</id> <name>trunc_ln47_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>193</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>25</id> <name>icmp_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>194</item> <item>196</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>26</id> <name>icmp_ln47_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>197</item> <item>199</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>27</id> <name>or_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>200</item> <item>201</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>28</id> <name>icmp_ln47_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>202</item> <item>203</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>29</id> <name>icmp_ln47_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>204</item> <item>205</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>30</id> <name>or_ln47_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>206</item> <item>207</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>31</id> <name>and_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>208</item> <item>209</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>210</item> <item>211</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>33</id> <name>and_ln47_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>212</item> <item>213</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>34</id> <name>p_a</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>214</item> <item>215</item> <item>216</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>35</id> <name>bitcast_ln47_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>217</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>67</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>218</item> <item>219</item> <item>220</item> <item>221</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>68</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>37</id> <name>trunc_ln47_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>222</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>69</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>38</id> <name>bitcast_ln47_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>223</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>70</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>39</id> <name>tmp_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>224</item> <item>225</item> <item>226</item> <item>227</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>71</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>40</id> <name>trunc_ln47_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>228</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>72</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>41</id> <name>icmp_ln47_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>229</item> <item>230</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>73</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>42</id> <name>icmp_ln47_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>231</item> <item>232</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>74</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>43</id> <name>or_ln47_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>233</item> <item>234</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>121</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>44</id> <name>icmp_ln47_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>235</item> <item>236</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>75</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>45</id> <name>icmp_ln47_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>237</item> <item>238</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>76</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>46</id> <name>or_ln47_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>239</item> <item>240</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>77</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>47</id> <name>and_ln47_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>241</item> <item>242</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>122</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>48</id> <name>tmp_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>243</item> <item>244</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>78</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>49</id> <name>and_ln47_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>245</item> <item>246</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>123</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>50</id> <name>tmp_8</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>247</item> <item>248</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>51</id> <name>and_ln47_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>249</item> <item>250</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>52</id> <name>p_a_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>251</item> <item>252</item> <item>253</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>53</id> <name>bitcast_ln47_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>254</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>79</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>54</id> <name>tmp_9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>255</item> <item>256</item> <item>257</item> <item>258</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>80</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>55</id> <name>trunc_ln47_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>259</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>81</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>56</id> <name>icmp_ln47_8</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>260</item> <item>261</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>82</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>57</id> <name>icmp_ln47_9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>262</item> <item>263</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>83</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>58</id> <name>or_ln47_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>264</item> <item>265</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>124</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>59</id> <name>and_ln47_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>266</item> <item>267</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>125</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>60</id> <name>tmp_s</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>268</item> <item>269</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>84</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>61</id> <name>and_ln47_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>270</item> <item>271</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>126</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>62</id> <name>bitcast_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>272</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>63</id> <name>tmp_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>273</item> <item>274</item> <item>275</item> <item>276</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>64</id> <name>trunc_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>277</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>65</id> <name>bitcast_ln48_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>278</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>66</id> <name>tmp_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>279</item> <item>280</item> <item>281</item> <item>282</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>67</id> <name>trunc_ln48_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>283</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>68</id> <name>icmp_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>284</item> <item>285</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>69</id> <name>icmp_ln48_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>286</item> <item>287</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>70</id> <name>or_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>288</item> <item>289</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>6</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>71</id> <name>icmp_ln48_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>290</item> <item>291</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>72</id> <name>icmp_ln48_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>292</item> <item>293</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>73</id> <name>or_ln48_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>294</item> <item>295</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>6</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>74</id> <name>and_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>296</item> <item>297</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>6</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>75</id> <name>tmp_10</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>298</item> <item>299</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>76</id> <name>and_ln48_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>300</item> <item>301</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>7</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>77</id> <name>p_a_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>302</item> <item>303</item> <item>304</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>7</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>78</id> <name>bitcast_ln48_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>305</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>85</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>79</id> <name>tmp_11</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>306</item> <item>307</item> <item>308</item> <item>309</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>86</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>80</id> <name>trunc_ln48_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>310</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>87</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>81</id> <name>bitcast_ln48_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>311</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>88</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>82</id> <name>tmp_12</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>312</item> <item>313</item> <item>314</item> <item>315</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>89</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>83</id> <name>trunc_ln48_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>316</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>90</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>84</id> <name>icmp_ln48_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>317</item> <item>318</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>91</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>85</id> <name>icmp_ln48_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>319</item> <item>320</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>92</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_86"> <Value> <Obj> <type>0</type> <id>86</id> <name>or_ln48_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>321</item> <item>322</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>127</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_87"> <Value> <Obj> <type>0</type> <id>87</id> <name>icmp_ln48_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>323</item> <item>324</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>93</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_88"> <Value> <Obj> <type>0</type> <id>88</id> <name>icmp_ln48_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>325</item> <item>326</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>94</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_89"> <Value> <Obj> <type>0</type> <id>89</id> <name>or_ln48_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>327</item> <item>328</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>95</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_90"> <Value> <Obj> <type>0</type> <id>90</id> <name>and_ln48_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>329</item> <item>330</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>128</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_91"> <Value> <Obj> <type>0</type> <id>91</id> <name>tmp_13</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>331</item> <item>332</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>96</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_92"> <Value> <Obj> <type>0</type> <id>92</id> <name>and_ln48_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>333</item> <item>334</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>129</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_93"> <Value> <Obj> <type>0</type> <id>93</id> <name>xor_ln48</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>335</item> <item>337</item> </oprand_edges> <opcode>xor</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>130</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_94"> <Value> <Obj> <type>0</type> <id>94</id> <name>tmp_14</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>338</item> <item>339</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_95"> <Value> <Obj> <type>0</type> <id>95</id> <name>and_ln48_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>340</item> <item>341</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>10</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_96"> <Value> <Obj> <type>0</type> <id>96</id> <name>p_a_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>342</item> <item>343</item> <item>344</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>10</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_97"> <Value> <Obj> <type>0</type> <id>97</id> <name>bitcast_ln49</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>345</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_98"> <Value> <Obj> <type>0</type> <id>98</id> <name>tmp_15</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>346</item> <item>347</item> <item>348</item> <item>349</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_99"> <Value> <Obj> <type>0</type> <id>99</id> <name>trunc_ln49</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>350</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_100"> <Value> <Obj> <type>0</type> <id>100</id> <name>bitcast_ln49_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>351</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_101"> <Value> <Obj> <type>0</type> <id>101</id> <name>tmp_16</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>352</item> <item>353</item> <item>354</item> <item>355</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_102"> <Value> <Obj> <type>0</type> <id>102</id> <name>trunc_ln49_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>356</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_103"> <Value> <Obj> <type>0</type> <id>103</id> <name>icmp_ln49</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>357</item> <item>358</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_104"> <Value> <Obj> <type>0</type> <id>104</id> <name>icmp_ln49_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>359</item> <item>360</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_105"> <Value> <Obj> <type>0</type> <id>105</id> <name>or_ln49</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>361</item> <item>362</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>11</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_106"> <Value> <Obj> <type>0</type> <id>106</id> <name>icmp_ln49_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>363</item> <item>364</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_107"> <Value> <Obj> <type>0</type> <id>107</id> <name>icmp_ln49_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>365</item> <item>366</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>60</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_108"> <Value> <Obj> <type>0</type> <id>108</id> <name>or_ln49_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>367</item> <item>368</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>61</m_topoIndex> <m_clusterGroupNumber>11</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_109"> <Value> <Obj> <type>0</type> <id>109</id> <name>and_ln49</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>369</item> <item>370</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>62</m_topoIndex> <m_clusterGroupNumber>11</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_110"> <Value> <Obj> <type>0</type> <id>110</id> <name>tmp_17</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>371</item> <item>372</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_111"> <Value> <Obj> <type>0</type> <id>111</id> <name>and_ln49_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>373</item> <item>374</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>63</m_topoIndex> <m_clusterGroupNumber>12</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_112"> <Value> <Obj> <type>0</type> <id>112</id> <name>p_a_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>375</item> <item>376</item> <item>377</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>64</m_topoIndex> <m_clusterGroupNumber>12</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_113"> <Value> <Obj> <type>0</type> <id>113</id> <name>tmp_18</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>378</item> <item>379</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_114"> <Value> <Obj> <type>0</type> <id>114</id> <name>and_ln49_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>380</item> <item>381</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>65</m_topoIndex> <m_clusterGroupNumber>13</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_115"> <Value> <Obj> <type>0</type> <id>115</id> <name>p_a_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName>_a</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>382</item> <item>383</item> <item>384</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>66</m_topoIndex> <m_clusterGroupNumber>13</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_116"> <Value> <Obj> <type>0</type> <id>116</id> <name>bitcast_ln49_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>385</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>97</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_117"> <Value> <Obj> <type>0</type> <id>117</id> <name>tmp_19</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>386</item> <item>387</item> <item>388</item> <item>389</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>98</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_118"> <Value> <Obj> <type>0</type> <id>118</id> <name>trunc_ln49_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>390</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>99</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_119"> <Value> <Obj> <type>0</type> <id>119</id> <name>bitcast_ln49_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>391</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>100</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_120"> <Value> <Obj> <type>0</type> <id>120</id> <name>tmp_20</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>392</item> <item>393</item> <item>394</item> <item>395</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>101</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_121"> <Value> <Obj> <type>0</type> <id>121</id> <name>trunc_ln49_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>396</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>102</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_122"> <Value> <Obj> <type>0</type> <id>122</id> <name>icmp_ln49_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>397</item> <item>398</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>103</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_123"> <Value> <Obj> <type>0</type> <id>123</id> <name>icmp_ln49_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>399</item> <item>400</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>104</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_124"> <Value> <Obj> <type>0</type> <id>124</id> <name>or_ln49_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>401</item> <item>402</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>131</m_topoIndex> <m_clusterGroupNumber>14</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_125"> <Value> <Obj> <type>0</type> <id>125</id> <name>icmp_ln49_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>403</item> <item>404</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>105</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_126"> <Value> <Obj> <type>0</type> <id>126</id> <name>icmp_ln49_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>405</item> <item>406</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>106</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_127"> <Value> <Obj> <type>0</type> <id>127</id> <name>or_ln49_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>407</item> <item>408</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>107</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_128"> <Value> <Obj> <type>0</type> <id>128</id> <name>and_ln49_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>409</item> <item>410</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>132</m_topoIndex> <m_clusterGroupNumber>14</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_129"> <Value> <Obj> <type>0</type> <id>129</id> <name>tmp_21</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>411</item> <item>412</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>108</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_130"> <Value> <Obj> <type>0</type> <id>130</id> <name>and_ln49_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>413</item> <item>414</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>133</m_topoIndex> <m_clusterGroupNumber>14</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_131"> <Value> <Obj> <type>0</type> <id>131</id> <name>and_ln47_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>415</item> <item>416</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>134</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_132"> <Value> <Obj> <type>0</type> <id>132</id> <name>xor_ln47</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>417</item> <item>418</item> </oprand_edges> <opcode>xor</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>135</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_133"> <Value> <Obj> <type>0</type> <id>133</id> <name>or_ln48_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>419</item> <item>420</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>136</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_134"> <Value> <Obj> <type>0</type> <id>134</id> <name>or_ln48_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>421</item> <item>422</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>137</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_135"> <Value> <Obj> <type>0</type> <id>135</id> <name>bitcast_ln49_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>423</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>109</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_136"> <Value> <Obj> <type>0</type> <id>136</id> <name>tmp_22</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>424</item> <item>425</item> <item>426</item> <item>427</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>110</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_137"> <Value> <Obj> <type>0</type> <id>137</id> <name>trunc_ln49_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>428</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>111</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_138"> <Value> <Obj> <type>0</type> <id>138</id> <name>icmp_ln49_8</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>429</item> <item>430</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>112</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_139"> <Value> <Obj> <type>0</type> <id>139</id> <name>icmp_ln49_9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>431</item> <item>432</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>113</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_140"> <Value> <Obj> <type>0</type> <id>140</id> <name>or_ln49_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>433</item> <item>434</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>138</m_topoIndex> <m_clusterGroupNumber>15</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_141"> <Value> <Obj> <type>0</type> <id>141</id> <name>and_ln49_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>435</item> <item>436</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>139</m_topoIndex> <m_clusterGroupNumber>15</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_142"> <Value> <Obj> <type>0</type> <id>142</id> <name>tmp_23</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>437</item> <item>438</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>114</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_143"> <Value> <Obj> <type>0</type> <id>143</id> <name>and_ln49_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>439</item> <item>440</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>140</m_topoIndex> <m_clusterGroupNumber>15</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_144"> <Value> <Obj> <type>0</type> <id>144</id> <name>and_ln49_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>441</item> <item>442</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>141</m_topoIndex> <m_clusterGroupNumber>14</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_145"> <Value> <Obj> <type>0</type> <id>145</id> <name>bitcast_ln49_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>443</item> </oprand_edges> <opcode>bitcast</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>115</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_146"> <Value> <Obj> <type>0</type> <id>146</id> <name>tmp_24</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>444</item> <item>445</item> <item>446</item> <item>447</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>116</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_147"> <Value> <Obj> <type>0</type> <id>147</id> <name>trunc_ln49_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>448</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>117</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_148"> <Value> <Obj> <type>0</type> <id>148</id> <name>icmp_ln49_10</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>449</item> <item>450</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.31</m_delay> <m_topoIndex>118</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_149"> <Value> <Obj> <type>0</type> <id>149</id> <name>icmp_ln49_11</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>451</item> <item>452</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.02</m_delay> <m_topoIndex>119</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_150"> <Value> <Obj> <type>0</type> <id>150</id> <name>or_ln49_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>453</item> <item>454</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>142</m_topoIndex> <m_clusterGroupNumber>16</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_151"> <Value> <Obj> <type>0</type> <id>151</id> <name>and_ln49_8</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>455</item> <item>456</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>143</m_topoIndex> <m_clusterGroupNumber>16</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_152"> <Value> <Obj> <type>0</type> <id>152</id> <name>tmp_25</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>457</item> <item>458</item> </oprand_edges> <opcode>fcmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>4.19</m_delay> <m_topoIndex>120</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_153"> <Value> <Obj> <type>0</type> <id>153</id> <name>and_ln49_9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>459</item> <item>460</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>144</m_topoIndex> <m_clusterGroupNumber>16</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_154"> <Value> <Obj> <type>0</type> <id>154</id> <name>and_ln49_10</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>461</item> <item>462</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>145</m_topoIndex> <m_clusterGroupNumber>15</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_155"> <Value> <Obj> <type>0</type> <id>155</id> <name>or_ln49_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>463</item> <item>464</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>146</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_156"> <Value> <Obj> <type>0</type> <id>156</id> <name>and_ln49_11</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>465</item> <item>466</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>147</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_157"> <Value> <Obj> <type>0</type> <id>157</id> <name>and_ln49_12</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>467</item> <item>468</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>148</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_158"> <Value> <Obj> <type>0</type> <id>158</id> <name>_ln51</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>pointOnSegment</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>pointOnSegment</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>469</item> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>149</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_159"> <Value> <Obj> <type>2</type> <id>183</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>23</content> </item> <item class_id_reference="16" object_id="_160"> <Value> <Obj> <type>2</type> <id>185</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>30</content> </item> <item class_id_reference="16" object_id="_161"> <Value> <Obj> <type>2</type> <id>195</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>255</content> </item> <item class_id_reference="16" object_id="_162"> <Value> <Obj> <type>2</type> <id>198</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>23</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_163"> <Value> <Obj> <type>2</type> <id>336</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_164"> <Obj> <type>3</type> <id>159</id> <name>pointOnSegment</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>149</count> <item_version>0</item_version> <item>10</item> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>76</item> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> <item>82</item> <item>83</item> <item>84</item> <item>85</item> <item>86</item> <item>87</item> <item>88</item> <item>89</item> <item>90</item> <item>91</item> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> <item>102</item> <item>103</item> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>112</item> <item>113</item> <item>114</item> <item>115</item> <item>116</item> <item>117</item> <item>118</item> <item>119</item> <item>120</item> <item>121</item> <item>122</item> <item>123</item> <item>124</item> <item>125</item> <item>126</item> <item>127</item> <item>128</item> <item>129</item> <item>130</item> <item>131</item> <item>132</item> <item>133</item> <item>134</item> <item>135</item> <item>136</item> <item>137</item> <item>138</item> <item>139</item> <item>140</item> <item>141</item> <item>142</item> <item>143</item> <item>144</item> <item>145</item> <item>146</item> <item>147</item> <item>148</item> <item>149</item> <item>150</item> <item>151</item> <item>152</item> <item>153</item> <item>154</item> <item>155</item> <item>156</item> <item>157</item> <item>158</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>279</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_165"> <id>162</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>164</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>166</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>168</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>170</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>172</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>174</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>176</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>178</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>179</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>182</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>184</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>186</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>187</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>188</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>190</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>191</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>192</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>193</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>194</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>196</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>197</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>199</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>200</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>201</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>202</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>203</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>204</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>205</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>206</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>207</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>208</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>209</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>210</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>211</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>212</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>213</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>214</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>215</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>216</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>217</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>219</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>220</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>221</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>222</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>223</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>225</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>226</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>227</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>228</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>229</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>230</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>231</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>232</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>233</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>234</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>235</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>236</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>237</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>238</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>239</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>240</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>241</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>242</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>243</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>244</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>245</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>246</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>247</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>248</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>249</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>250</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>251</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>252</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>253</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>254</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>256</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>257</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>258</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>259</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>260</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>261</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>262</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>263</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>264</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>265</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>266</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>267</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>268</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>269</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>270</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>271</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>272</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>274</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>275</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>276</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>277</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>278</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>280</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>281</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>282</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>283</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>284</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>285</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>286</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>287</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>288</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>289</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>290</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>291</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>292</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>293</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>294</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>295</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>296</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>297</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>298</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>299</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>300</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>301</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>302</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>303</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>304</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>305</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>307</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>308</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>309</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>310</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>311</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>313</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>314</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>315</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>316</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_298"> <id>317</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_299"> <id>318</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_300"> <id>319</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_301"> <id>320</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_302"> <id>321</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_303"> <id>322</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_304"> <id>323</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_305"> <id>324</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_306"> <id>325</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_307"> <id>326</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_308"> <id>327</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_309"> <id>328</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_310"> <id>329</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_311"> <id>330</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_312"> <id>331</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_313"> <id>332</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_314"> <id>333</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_315"> <id>334</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_316"> <id>335</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_317"> <id>337</id> <edge_type>1</edge_type> <source_obj>336</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_318"> <id>338</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_319"> <id>339</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_320"> <id>340</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_321"> <id>341</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_322"> <id>342</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_323"> <id>343</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_324"> <id>344</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_325"> <id>345</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_326"> <id>347</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_327"> <id>348</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_328"> <id>349</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_329"> <id>350</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_330"> <id>351</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_331"> <id>353</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_332"> <id>354</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_333"> <id>355</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_334"> <id>356</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_335"> <id>357</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_336"> <id>358</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_337"> <id>359</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_338"> <id>360</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_339"> <id>361</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_340"> <id>362</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_341"> <id>363</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_342"> <id>364</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_343"> <id>365</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_344"> <id>366</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_345"> <id>367</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_346"> <id>368</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_347"> <id>369</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_348"> <id>370</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_349"> <id>371</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_350"> <id>372</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_351"> <id>373</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_352"> <id>374</id> <edge_type>1</edge_type> <source_obj>110</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_353"> <id>375</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_354"> <id>376</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_355"> <id>377</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_356"> <id>378</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_357"> <id>379</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_358"> <id>380</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_359"> <id>381</id> <edge_type>1</edge_type> <source_obj>113</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_360"> <id>382</id> <edge_type>1</edge_type> <source_obj>114</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_361"> <id>383</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_362"> <id>384</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_363"> <id>385</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_364"> <id>387</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_365"> <id>388</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_366"> <id>389</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_367"> <id>390</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_368"> <id>391</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_369"> <id>393</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_370"> <id>394</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_371"> <id>395</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_372"> <id>396</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>121</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_373"> <id>397</id> <edge_type>1</edge_type> <source_obj>117</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_374"> <id>398</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_375"> <id>399</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_376"> <id>400</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_377"> <id>401</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_378"> <id>402</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_379"> <id>403</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_380"> <id>404</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_381"> <id>405</id> <edge_type>1</edge_type> <source_obj>121</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_382"> <id>406</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_383"> <id>407</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_384"> <id>408</id> <edge_type>1</edge_type> <source_obj>125</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_385"> <id>409</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_386"> <id>410</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_387"> <id>411</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_388"> <id>412</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_389"> <id>413</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_390"> <id>414</id> <edge_type>1</edge_type> <source_obj>129</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_391"> <id>415</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_392"> <id>416</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_393"> <id>417</id> <edge_type>1</edge_type> <source_obj>131</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_394"> <id>418</id> <edge_type>1</edge_type> <source_obj>336</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_395"> <id>419</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_396"> <id>420</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_397"> <id>421</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>134</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_398"> <id>422</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>134</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_399"> <id>423</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_400"> <id>425</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>136</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_401"> <id>426</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>136</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_402"> <id>427</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>136</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_403"> <id>428</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>137</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_404"> <id>429</id> <edge_type>1</edge_type> <source_obj>136</source_obj> <sink_obj>138</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_405"> <id>430</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>138</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_406"> <id>431</id> <edge_type>1</edge_type> <source_obj>137</source_obj> <sink_obj>139</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_407"> <id>432</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>139</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_408"> <id>433</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>140</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_409"> <id>434</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>140</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_410"> <id>435</id> <edge_type>1</edge_type> <source_obj>140</source_obj> <sink_obj>141</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_411"> <id>436</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>141</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_412"> <id>437</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>142</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_413"> <id>438</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>142</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_414"> <id>439</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_415"> <id>440</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_416"> <id>441</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>144</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_417"> <id>442</id> <edge_type>1</edge_type> <source_obj>131</source_obj> <sink_obj>144</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_418"> <id>443</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>145</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_419"> <id>445</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_420"> <id>446</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_421"> <id>447</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_422"> <id>448</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>147</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_423"> <id>449</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_424"> <id>450</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_425"> <id>451</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_426"> <id>452</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_427"> <id>453</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_428"> <id>454</id> <edge_type>1</edge_type> <source_obj>148</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_429"> <id>455</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_430"> <id>456</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_431"> <id>457</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>152</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_432"> <id>458</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>152</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_433"> <id>459</id> <edge_type>1</edge_type> <source_obj>151</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_434"> <id>460</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_435"> <id>461</id> <edge_type>1</edge_type> <source_obj>143</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_436"> <id>462</id> <edge_type>1</edge_type> <source_obj>153</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_437"> <id>463</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>155</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_438"> <id>464</id> <edge_type>1</edge_type> <source_obj>154</source_obj> <sink_obj>155</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_439"> <id>465</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>156</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_440"> <id>466</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>156</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_441"> <id>467</id> <edge_type>1</edge_type> <source_obj>156</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_442"> <id>468</id> <edge_type>1</edge_type> <source_obj>144</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_443"> <id>469</id> <edge_type>1</edge_type> <source_obj>157</source_obj> <sink_obj>158</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_444"> <mId>1</mId> <mTag>pointOnSegment</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>159</item> </basic_blocks> <mII>1</mII> <mDepth>4</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>3</mMinLatency> <mMaxLatency>3</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>149</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>10</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>33</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>49</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>51</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>54</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>61</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>71</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>76</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>86</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>89</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>92</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>95</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>97</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>99</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>101</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>103</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>110</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>111</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>112</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>113</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>114</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>115</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>116</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>117</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>118</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>119</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>120</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>121</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>122</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>123</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>124</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>125</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>126</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>127</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>128</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>129</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>130</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>131</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>132</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>133</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>134</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>135</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>136</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>137</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>138</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>139</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>140</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>141</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>142</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>143</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>144</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>145</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>146</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>147</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>148</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>149</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>150</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>151</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>152</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>153</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>154</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>155</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>156</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>157</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>158</first> <second> <first>3</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>159</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>3</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="1" version="0" object_id="_445"> <region_name>pointOnSegment</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>159</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>4</pipe_depth> </item> </regions> <dp_fu_nodes class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
Ada
299,356
adb
null
27.241423
71
0.598511
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- with System.Storage_Elements; with System.Address_To_Access_Conversions; package body Program.Relative_Access_Types is package Conversions is new System.Address_To_Access_Conversions (Object); use System.Storage_Elements; --------- -- "+" -- --------- function "+" (Value : Object_Access) return Relative_Access is begin return Result : Relative_Access do if Value = null then Result := Relative_Access'First; else declare Value_Address : constant Integer_Address := To_Integer (Value.all'Address); Result_Address : constant Integer_Address := To_Integer (Result'Address); begin if Value_Address > Result_Address then Result := Relative_Access (Value_Address - Result_Address); else Result := -Relative_Access (Result_Address - Value_Address); end if; end; end if; end return; end "+"; --------- -- "-" -- --------- function "-" (Value : Relative_Access) return Object_Access is Self : constant Integer_Address := To_Integer (Value'Address); begin if Value = Relative_Access'First then return null; elsif Value > 0 then return Object_Access (Conversions.To_Pointer (To_Address (Self + Integer_Address (Value)))); else return Object_Access (Conversions.To_Pointer (To_Address (Self - Integer_Address (abs Value)))); end if; end "-"; end Program.Relative_Access_Types;
Ada
1,820
adb
null
29.354839
78
0.571978
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . M E M O R Y _ C O P Y -- -- -- -- B o d y -- -- -- -- Copyright (C) 2006-2014, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Unchecked_Conversion; with Interfaces.C; use Interfaces.C; package body System.Memory_Copy is type IA is mod System.Memory_Size; -- The type used to provide the actual desired operations function To_IA is new Ada.Unchecked_Conversion (Address, IA); -- The operations are implemented by unchecked conversion to type IA, -- followed by doing the intrinsic operation on the IA values, followed -- by converting the result back to type Address. type Byte is mod 2 ** 8; for Byte'Size use 8; -- Byte is the storage unit type Byte_Ptr is access Byte; -- Access to a byte function To_Byte_Ptr is new Ada.Unchecked_Conversion (IA, Byte_Ptr); -- Conversion between an integer address and access to byte Byte_Size : constant := 1; -- Number of storage unit in a byte type Word is mod 2 ** System.Word_Size; for Word'Size use System.Word_Size; -- Word is efficiently loaded and stored by the processor, but has -- alignment constraints. type Word_Ptr is access Word; -- Access to a word. function To_Word_Ptr is new Ada.Unchecked_Conversion (IA, Word_Ptr); -- Conversion from an integer adddress to word access Word_Size : constant := Word'Size / Storage_Unit; -- Number of storage unit per word ------------ -- memcpy -- ------------ function memcpy (Dest : Address; Src : Address; N : size_t) return Address is D : IA := To_IA (Dest); S : IA := To_IA (Src); C : size_t := N; begin -- Try to copy per word, if alignment constraints are respected if ((D or S) and (Word'Alignment - 1)) = 0 then while C >= Word_Size loop To_Word_Ptr (D).all := To_Word_Ptr (S).all; D := D + Word_Size; S := S + Word_Size; C := C - Word_Size; end loop; end if; -- Copy the remaining byte per byte while C > 0 loop To_Byte_Ptr (D).all := To_Byte_Ptr (S).all; D := D + Byte_Size; S := S + Byte_Size; C := C - Byte_Size; end loop; return Dest; end memcpy; end System.Memory_Copy;
Ada
4,533
adb
12
41.972222
78
0.451577
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Tools Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012-2013, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with Ada.Containers.Vectors; with League.String_Vectors; with WSDL.MEPs; package WSDL.AST.Operations is pragma Preelaborate; package Interface_Message_Vectors is new Ada.Containers.Vectors (Positive, WSDL.AST.Interface_Message_Access, WSDL.AST."="); package Interface_Fault_Vectors is new Ada.Containers.Vectors (Positive, WSDL.AST.Interface_Fault_Reference_Access, WSDL.AST."="); ------------------------- -- Interface Operation -- ------------------------- type Interface_Operation_Node is new Abstract_Node with record Local_Name : League.Strings.Universal_String; -- Local name part of the name of the operation. Parent : WSDL.AST.Interface_Access; -- Value of {parent} property. Message_Exchange_Pattern : WSDL.MEPs.MEP_Access; -- Value of {message exchange pattern} property. Style : League.String_Vectors.Universal_String_Vector; -- Value of {style} property. Interface_Message_References : Interface_Message_Vectors.Vector; -- Value of {interface message references} property. Interface_Fault_References : Interface_Fault_Vectors.Vector; -- Value of {interface fault references} property. end record; overriding procedure Enter (Self : not null access Interface_Operation_Node; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); overriding procedure Leave (Self : not null access Interface_Operation_Node; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); overriding procedure Visit (Self : not null access Interface_Operation_Node; Iterator : in out WSDL.Iterators.WSDL_Iterator'Class; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); ----------------------- -- Binding Operation -- ----------------------- type SOAP_Binding_Operation_Extension is record MEP : League.Strings.Universal_String; -- Value of {soap mep} property. Action : League.Strings.Universal_String; -- Value of {soap action} property. end record; type Binding_Operation_Node is new Abstract_Node with record Ref : Qualified_Name; -- Name of the related interface operation. Parent : WSDL.AST.Binding_Access; -- Value of {parent} property. Interface_Operation : WSDL.AST.Interface_Operation_Access; -- Value of {interface operation} property. SOAP : SOAP_Binding_Operation_Extension; -- SOAP Binding extension information; end record; overriding procedure Enter (Self : not null access Binding_Operation_Node; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); overriding procedure Leave (Self : not null access Binding_Operation_Node; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); overriding procedure Visit (Self : not null access Binding_Operation_Node; Iterator : in out WSDL.Iterators.WSDL_Iterator'Class; Visitor : in out WSDL.Visitors.WSDL_Visitor'Class; Control : in out WSDL.Iterators.Traverse_Control); end WSDL.AST.Operations;
Ada
6,881
ads
24
45.873333
78
0.527249
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . T A S K _ P R I M I T I V E S . O P E R A T I O N S -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2006, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNARL is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNARL; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ -- This is a HP-UX DCE threads (HPUX 10) version of this package -- This package contains all the GNULL primitives that interface directly -- with the underlying OS. pragma Polling (Off); -- Turn off polling, we do not want ATC polling to take place during -- tasking operations. It causes infinite loops and other problems. with System.Tasking.Debug; -- used for Known_Tasks with System.Interrupt_Management; -- used for Keep_Unmasked -- Abort_Task_Interrupt -- Interrupt_ID pragma Warnings (Off); with System.Interrupt_Management.Operations; -- used for Set_Interrupt_Mask -- All_Tasks_Mask pragma Elaborate_All (System.Interrupt_Management.Operations); pragma Warnings (On); with System.OS_Primitives; -- used for Delay_Modes with Interfaces.C; -- used for int -- size_t with System.Task_Primitives.Interrupt_Operations; -- used for Get_Interrupt_ID with System.Soft_Links; -- used for Defer/Undefer_Abort -- We use System.Soft_Links instead of System.Tasking.Initialization -- because the later is a higher level package that we shouldn't depend on. -- For example when using the restricted run time, it is replaced by -- System.Tasking.Restricted.Stages. with Unchecked_Conversion; with Unchecked_Deallocation; package body System.Task_Primitives.Operations is package SSL renames System.Soft_Links; use System.Tasking.Debug; use System.Tasking; use Interfaces.C; use System.OS_Interface; use System.Parameters; use System.OS_Primitives; package PIO renames System.Task_Primitives.Interrupt_Operations; ---------------- -- Local Data -- ---------------- -- The followings are logically constants, but need to be initialized -- at run time. Single_RTS_Lock : aliased RTS_Lock; -- This is a lock to allow only one thread of control in the RTS at -- a time; it is used to execute in mutual exclusion from all other tasks. -- Used mainly in Single_Lock mode, but also to protect All_Tasks_List ATCB_Key : aliased pthread_key_t; -- Key used to find the Ada Task_Id associated with a thread Environment_Task_Id : Task_Id; -- A variable to hold Task_Id for the environment task Unblocked_Signal_Mask : aliased sigset_t; -- The set of signals that should unblocked in all tasks Time_Slice_Val : Integer; pragma Import (C, Time_Slice_Val, "__gl_time_slice_val"); Dispatching_Policy : Character; pragma Import (C, Dispatching_Policy, "__gl_task_dispatching_policy"); -- Note: the reason that Locking_Policy is not needed is that this -- is not implemented for DCE threads. The HPUX 10 port is at this -- stage considered dead, and no further work is planned on it. Foreign_Task_Elaborated : aliased Boolean := True; -- Used to identified fake tasks (i.e., non-Ada Threads) -------------------- -- Local Packages -- -------------------- package Specific is procedure Initialize (Environment_Task : Task_Id); pragma Inline (Initialize); -- Initialize various data needed by this package function Is_Valid_Task return Boolean; pragma Inline (Is_Valid_Task); -- Does the executing thread have a TCB? procedure Set (Self_Id : Task_Id); pragma Inline (Set); -- Set the self id for the current task function Self return Task_Id; pragma Inline (Self); -- Return a pointer to the Ada Task Control Block of the calling task end Specific; package body Specific is separate; -- The body of this package is target specific --------------------------------- -- Support for foreign threads -- --------------------------------- function Register_Foreign_Thread (Thread : Thread_Id) return Task_Id; -- Allocate and Initialize a new ATCB for the current Thread function Register_Foreign_Thread (Thread : Thread_Id) return Task_Id is separate; ----------------------- -- Local Subprograms -- ----------------------- procedure Abort_Handler (Sig : Signal); function To_Address is new Unchecked_Conversion (Task_Id, System.Address); ------------------- -- Abort_Handler -- ------------------- procedure Abort_Handler (Sig : Signal) is pragma Unreferenced (Sig); Self_Id : constant Task_Id := Self; Result : Interfaces.C.int; Old_Set : aliased sigset_t; begin if Self_Id.Deferral_Level = 0 and then Self_Id.Pending_ATC_Level < Self_Id.ATC_Nesting_Level and then not Self_Id.Aborting then Self_Id.Aborting := True; -- Make sure signals used for RTS internal purpose are unmasked Result := pthread_sigmask (SIG_UNBLOCK, Unblocked_Signal_Mask'Unchecked_Access, Old_Set'Unchecked_Access); pragma Assert (Result = 0); raise Standard'Abort_Signal; end if; end Abort_Handler; ----------------- -- Stack_Guard -- ----------------- -- The underlying thread system sets a guard page at the -- bottom of a thread stack, so nothing is needed. -- ??? Check the comment above procedure Stack_Guard (T : ST.Task_Id; On : Boolean) is pragma Unreferenced (T, On); begin null; end Stack_Guard; ------------------- -- Get_Thread_Id -- ------------------- function Get_Thread_Id (T : ST.Task_Id) return OSI.Thread_Id is begin return T.Common.LL.Thread; end Get_Thread_Id; ---------- -- Self -- ---------- function Self return Task_Id renames Specific.Self; --------------------- -- Initialize_Lock -- --------------------- -- Note: mutexes and cond_variables needed per-task basis are -- initialized in Initialize_TCB and the Storage_Error is -- handled. Other mutexes (such as RTS_Lock, Memory_Lock...) -- used in RTS is initialized before any status change of RTS. -- Therefore rasing Storage_Error in the following routines -- should be able to be handled safely. procedure Initialize_Lock (Prio : System.Any_Priority; L : access Lock) is Attributes : aliased pthread_mutexattr_t; Result : Interfaces.C.int; begin Result := pthread_mutexattr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; L.Priority := Prio; Result := pthread_mutex_init (L.L'Access, Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutexattr_destroy (Attributes'Access); pragma Assert (Result = 0); end Initialize_Lock; procedure Initialize_Lock (L : access RTS_Lock; Level : Lock_Level) is pragma Unreferenced (Level); Attributes : aliased pthread_mutexattr_t; Result : Interfaces.C.int; begin Result := pthread_mutexattr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutex_init (L, Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutexattr_destroy (Attributes'Access); pragma Assert (Result = 0); end Initialize_Lock; ------------------- -- Finalize_Lock -- ------------------- procedure Finalize_Lock (L : access Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_destroy (L.L'Access); pragma Assert (Result = 0); end Finalize_Lock; procedure Finalize_Lock (L : access RTS_Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_destroy (L); pragma Assert (Result = 0); end Finalize_Lock; ---------------- -- Write_Lock -- ---------------- procedure Write_Lock (L : access Lock; Ceiling_Violation : out Boolean) is Result : Interfaces.C.int; begin L.Owner_Priority := Get_Priority (Self); if L.Priority < L.Owner_Priority then Ceiling_Violation := True; return; end if; Result := pthread_mutex_lock (L.L'Access); pragma Assert (Result = 0); Ceiling_Violation := False; end Write_Lock; procedure Write_Lock (L : access RTS_Lock; Global_Lock : Boolean := False) is Result : Interfaces.C.int; begin if not Single_Lock or else Global_Lock then Result := pthread_mutex_lock (L); pragma Assert (Result = 0); end if; end Write_Lock; procedure Write_Lock (T : Task_Id) is Result : Interfaces.C.int; begin if not Single_Lock then Result := pthread_mutex_lock (T.Common.LL.L'Access); pragma Assert (Result = 0); end if; end Write_Lock; --------------- -- Read_Lock -- --------------- procedure Read_Lock (L : access Lock; Ceiling_Violation : out Boolean) is begin Write_Lock (L, Ceiling_Violation); end Read_Lock; ------------ -- Unlock -- ------------ procedure Unlock (L : access Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_unlock (L.L'Access); pragma Assert (Result = 0); end Unlock; procedure Unlock (L : access RTS_Lock; Global_Lock : Boolean := False) is Result : Interfaces.C.int; begin if not Single_Lock or else Global_Lock then Result := pthread_mutex_unlock (L); pragma Assert (Result = 0); end if; end Unlock; procedure Unlock (T : Task_Id) is Result : Interfaces.C.int; begin if not Single_Lock then Result := pthread_mutex_unlock (T.Common.LL.L'Access); pragma Assert (Result = 0); end if; end Unlock; ----------- -- Sleep -- ----------- procedure Sleep (Self_ID : Task_Id; Reason : System.Tasking.Task_States) is pragma Unreferenced (Reason); Result : Interfaces.C.int; begin if Single_Lock then Result := pthread_cond_wait (Self_ID.Common.LL.CV'Access, Single_RTS_Lock'Access); else Result := pthread_cond_wait (Self_ID.Common.LL.CV'Access, Self_ID.Common.LL.L'Access); end if; -- EINTR is not considered a failure pragma Assert (Result = 0 or else Result = EINTR); end Sleep; ----------------- -- Timed_Sleep -- ----------------- procedure Timed_Sleep (Self_ID : Task_Id; Time : Duration; Mode : ST.Delay_Modes; Reason : System.Tasking.Task_States; Timedout : out Boolean; Yielded : out Boolean) is pragma Unreferenced (Reason); Check_Time : constant Duration := Monotonic_Clock; Abs_Time : Duration; Request : aliased timespec; Result : Interfaces.C.int; begin Timedout := True; Yielded := False; if Mode = Relative then Abs_Time := Duration'Min (Time, Max_Sensible_Delay) + Check_Time; else Abs_Time := Duration'Min (Check_Time + Max_Sensible_Delay, Time); end if; if Abs_Time > Check_Time then Request := To_Timespec (Abs_Time); loop exit when Self_ID.Pending_ATC_Level < Self_ID.ATC_Nesting_Level or else Self_ID.Pending_Priority_Change; if Single_Lock then Result := pthread_cond_timedwait (Self_ID.Common.LL.CV'Access, Single_RTS_Lock'Access, Request'Access); else Result := pthread_cond_timedwait (Self_ID.Common.LL.CV'Access, Self_ID.Common.LL.L'Access, Request'Access); end if; exit when Abs_Time <= Monotonic_Clock; if Result = 0 or Result = EINTR then -- Somebody may have called Wakeup for us Timedout := False; exit; end if; pragma Assert (Result = ETIMEDOUT); end loop; end if; end Timed_Sleep; ----------------- -- Timed_Delay -- ----------------- procedure Timed_Delay (Self_ID : Task_Id; Time : Duration; Mode : ST.Delay_Modes) is Check_Time : constant Duration := Monotonic_Clock; Abs_Time : Duration; Request : aliased timespec; Result : Interfaces.C.int; begin if Single_Lock then Lock_RTS; end if; Write_Lock (Self_ID); if Mode = Relative then Abs_Time := Time + Check_Time; else Abs_Time := Duration'Min (Check_Time + Max_Sensible_Delay, Time); end if; if Abs_Time > Check_Time then Request := To_Timespec (Abs_Time); Self_ID.Common.State := Delay_Sleep; loop if Self_ID.Pending_Priority_Change then Self_ID.Pending_Priority_Change := False; Self_ID.Common.Base_Priority := Self_ID.New_Base_Priority; Set_Priority (Self_ID, Self_ID.Common.Base_Priority); end if; exit when Self_ID.Pending_ATC_Level < Self_ID.ATC_Nesting_Level; if Single_Lock then Result := pthread_cond_timedwait (Self_ID.Common.LL.CV'Access, Single_RTS_Lock'Access, Request'Access); else Result := pthread_cond_timedwait (Self_ID.Common.LL.CV'Access, Self_ID.Common.LL.L'Access, Request'Access); end if; exit when Abs_Time <= Monotonic_Clock; pragma Assert (Result = 0 or else Result = ETIMEDOUT or else Result = EINTR); end loop; Self_ID.Common.State := Runnable; end if; Unlock (Self_ID); if Single_Lock then Unlock_RTS; end if; Result := sched_yield; end Timed_Delay; --------------------- -- Monotonic_Clock -- --------------------- function Monotonic_Clock return Duration is TS : aliased timespec; Result : Interfaces.C.int; begin Result := Clock_Gettime (CLOCK_REALTIME, TS'Unchecked_Access); pragma Assert (Result = 0); return To_Duration (TS); end Monotonic_Clock; ------------------- -- RT_Resolution -- ------------------- function RT_Resolution return Duration is begin return 10#1.0#E-6; end RT_Resolution; ------------ -- Wakeup -- ------------ procedure Wakeup (T : Task_Id; Reason : System.Tasking.Task_States) is pragma Unreferenced (Reason); Result : Interfaces.C.int; begin Result := pthread_cond_signal (T.Common.LL.CV'Access); pragma Assert (Result = 0); end Wakeup; ----------- -- Yield -- ----------- procedure Yield (Do_Yield : Boolean := True) is Result : Interfaces.C.int; pragma Unreferenced (Result); begin if Do_Yield then Result := sched_yield; end if; end Yield; ------------------ -- Set_Priority -- ------------------ type Prio_Array_Type is array (System.Any_Priority) of Integer; pragma Atomic_Components (Prio_Array_Type); Prio_Array : Prio_Array_Type; -- Global array containing the id of the currently running task for -- each priority. -- -- Note: we assume that we are on a single processor with run-til-blocked -- scheduling. procedure Set_Priority (T : Task_Id; Prio : System.Any_Priority; Loss_Of_Inheritance : Boolean := False) is Result : Interfaces.C.int; Array_Item : Integer; Param : aliased struct_sched_param; begin Param.sched_priority := Interfaces.C.int (Underlying_Priorities (Prio)); if Time_Slice_Val > 0 then Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_RR, Param'Access); elsif Dispatching_Policy = 'F' or else Time_Slice_Val = 0 then Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_FIFO, Param'Access); else Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_OTHER, Param'Access); end if; pragma Assert (Result = 0); if Dispatching_Policy = 'F' then -- Annex D requirement [RM D.2.2 par. 9]: -- If the task drops its priority due to the loss of inherited -- priority, it is added at the head of the ready queue for its -- new active priority. if Loss_Of_Inheritance and then Prio < T.Common.Current_Priority then Array_Item := Prio_Array (T.Common.Base_Priority) + 1; Prio_Array (T.Common.Base_Priority) := Array_Item; loop -- Let some processes a chance to arrive Yield; -- Then wait for our turn to proceed exit when Array_Item = Prio_Array (T.Common.Base_Priority) or else Prio_Array (T.Common.Base_Priority) = 1; end loop; Prio_Array (T.Common.Base_Priority) := Prio_Array (T.Common.Base_Priority) - 1; end if; end if; T.Common.Current_Priority := Prio; end Set_Priority; ------------------ -- Get_Priority -- ------------------ function Get_Priority (T : Task_Id) return System.Any_Priority is begin return T.Common.Current_Priority; end Get_Priority; ---------------- -- Enter_Task -- ---------------- procedure Enter_Task (Self_ID : Task_Id) is begin Self_ID.Common.LL.Thread := pthread_self; Specific.Set (Self_ID); Lock_RTS; for J in Known_Tasks'Range loop if Known_Tasks (J) = null then Known_Tasks (J) := Self_ID; Self_ID.Known_Tasks_Index := J; exit; end if; end loop; Unlock_RTS; end Enter_Task; -------------- -- New_ATCB -- -------------- function New_ATCB (Entry_Num : Task_Entry_Index) return Task_Id is begin return new Ada_Task_Control_Block (Entry_Num); end New_ATCB; ------------------- -- Is_Valid_Task -- ------------------- function Is_Valid_Task return Boolean renames Specific.Is_Valid_Task; ----------------------------- -- Register_Foreign_Thread -- ----------------------------- function Register_Foreign_Thread return Task_Id is begin if Is_Valid_Task then return Self; else return Register_Foreign_Thread (pthread_self); end if; end Register_Foreign_Thread; -------------------- -- Initialize_TCB -- -------------------- procedure Initialize_TCB (Self_ID : Task_Id; Succeeded : out Boolean) is Mutex_Attr : aliased pthread_mutexattr_t; Result : Interfaces.C.int; Cond_Attr : aliased pthread_condattr_t; begin if not Single_Lock then Result := pthread_mutexattr_init (Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = 0 then Result := pthread_mutex_init (Self_ID.Common.LL.L'Access, Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); end if; if Result /= 0 then Succeeded := False; return; end if; Result := pthread_mutexattr_destroy (Mutex_Attr'Access); pragma Assert (Result = 0); end if; Result := pthread_condattr_init (Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = 0 then Result := pthread_cond_init (Self_ID.Common.LL.CV'Access, Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); end if; if Result = 0 then Succeeded := True; else if not Single_Lock then Result := pthread_mutex_destroy (Self_ID.Common.LL.L'Access); pragma Assert (Result = 0); end if; Succeeded := False; end if; Result := pthread_condattr_destroy (Cond_Attr'Access); pragma Assert (Result = 0); end Initialize_TCB; ----------------- -- Create_Task -- ----------------- procedure Create_Task (T : Task_Id; Wrapper : System.Address; Stack_Size : System.Parameters.Size_Type; Priority : System.Any_Priority; Succeeded : out Boolean) is Attributes : aliased pthread_attr_t; Result : Interfaces.C.int; function Thread_Body_Access is new Unchecked_Conversion (System.Address, Thread_Body); begin Result := pthread_attr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result /= 0 then Succeeded := False; return; end if; Result := pthread_attr_setstacksize (Attributes'Access, Interfaces.C.size_t (Stack_Size)); pragma Assert (Result = 0); -- Since the initial signal mask of a thread is inherited from the -- creator, and the Environment task has all its signals masked, we -- do not need to manipulate caller's signal mask at this point. -- All tasks in RTS will have All_Tasks_Mask initially. Result := pthread_create (T.Common.LL.Thread'Access, Attributes'Access, Thread_Body_Access (Wrapper), To_Address (T)); pragma Assert (Result = 0 or else Result = EAGAIN); Succeeded := Result = 0; pthread_detach (T.Common.LL.Thread'Access); -- Detach the thread using pthread_detach, sinc DCE threads do not have -- pthread_attr_set_detachstate. Result := pthread_attr_destroy (Attributes'Access); pragma Assert (Result = 0); Set_Priority (T, Priority); end Create_Task; ------------------ -- Finalize_TCB -- ------------------ procedure Finalize_TCB (T : Task_Id) is Result : Interfaces.C.int; Tmp : Task_Id := T; Is_Self : constant Boolean := T = Self; procedure Free is new Unchecked_Deallocation (Ada_Task_Control_Block, Task_Id); begin if not Single_Lock then Result := pthread_mutex_destroy (T.Common.LL.L'Access); pragma Assert (Result = 0); end if; Result := pthread_cond_destroy (T.Common.LL.CV'Access); pragma Assert (Result = 0); if T.Known_Tasks_Index /= -1 then Known_Tasks (T.Known_Tasks_Index) := null; end if; Free (Tmp); if Is_Self then Specific.Set (null); end if; end Finalize_TCB; --------------- -- Exit_Task -- --------------- procedure Exit_Task is begin Specific.Set (null); end Exit_Task; ---------------- -- Abort_Task -- ---------------- procedure Abort_Task (T : Task_Id) is begin -- -- Interrupt Server_Tasks may be waiting on an "event" flag (signal) -- if T.Common.State = Interrupt_Server_Blocked_On_Event_Flag then System.Interrupt_Management.Operations.Interrupt_Self_Process (System.Interrupt_Management.Interrupt_ID (PIO.Get_Interrupt_ID (T))); end if; end Abort_Task; ---------------- -- Initialize -- ---------------- procedure Initialize (S : in out Suspension_Object) is Mutex_Attr : aliased pthread_mutexattr_t; Cond_Attr : aliased pthread_condattr_t; Result : Interfaces.C.int; begin -- Initialize internal state. It is always initialized to False (ARM -- D.10 par. 6). S.State := False; S.Waiting := False; -- Initialize internal mutex Result := pthread_mutex_init (S.L'Access, Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; -- Initialize internal condition variable Result := pthread_cond_init (S.CV'Access, Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result /= 0 then Result := pthread_mutex_destroy (S.L'Access); pragma Assert (Result = 0); if Result = ENOMEM then raise Storage_Error; end if; end if; end Initialize; -------------- -- Finalize -- -------------- procedure Finalize (S : in out Suspension_Object) is Result : Interfaces.C.int; begin -- Destroy internal mutex Result := pthread_mutex_destroy (S.L'Access); pragma Assert (Result = 0); -- Destroy internal condition variable Result := pthread_cond_destroy (S.CV'Access); pragma Assert (Result = 0); end Finalize; ------------------- -- Current_State -- ------------------- function Current_State (S : Suspension_Object) return Boolean is begin -- We do not want to use lock on this read operation. State is marked -- as Atomic so that we ensure that the value retrieved is correct. return S.State; end Current_State; --------------- -- Set_False -- --------------- procedure Set_False (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); S.State := False; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end Set_False; -------------- -- Set_True -- -------------- procedure Set_True (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); -- If there is already a task waiting on this suspension object then -- we resume it, leaving the state of the suspension object to False, -- as it is specified in ARM D.10 par. 9. Otherwise, it just leaves -- the state to True. if S.Waiting then S.Waiting := False; S.State := False; Result := pthread_cond_signal (S.CV'Access); pragma Assert (Result = 0); else S.State := True; end if; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end Set_True; ------------------------ -- Suspend_Until_True -- ------------------------ procedure Suspend_Until_True (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); if S.Waiting then -- Program_Error must be raised upon calling Suspend_Until_True -- if another task is already waiting on that suspension object -- (ARM D.10 par. 10). Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; raise Program_Error; else -- Suspend the task if the state is False. Otherwise, the task -- continues its execution, and the state of the suspension object -- is set to False (ARM D.10 par. 9). if S.State then S.State := False; else S.Waiting := True; Result := pthread_cond_wait (S.CV'Access, S.L'Access); end if; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end if; end Suspend_Until_True; ---------------- -- Check_Exit -- ---------------- -- Dummy version function Check_Exit (Self_ID : ST.Task_Id) return Boolean is pragma Unreferenced (Self_ID); begin return True; end Check_Exit; -------------------- -- Check_No_Locks -- -------------------- function Check_No_Locks (Self_ID : ST.Task_Id) return Boolean is pragma Unreferenced (Self_ID); begin return True; end Check_No_Locks; ---------------------- -- Environment_Task -- ---------------------- function Environment_Task return Task_Id is begin return Environment_Task_Id; end Environment_Task; -------------- -- Lock_RTS -- -------------- procedure Lock_RTS is begin Write_Lock (Single_RTS_Lock'Access, Global_Lock => True); end Lock_RTS; ---------------- -- Unlock_RTS -- ---------------- procedure Unlock_RTS is begin Unlock (Single_RTS_Lock'Access, Global_Lock => True); end Unlock_RTS; ------------------ -- Suspend_Task -- ------------------ function Suspend_Task (T : ST.Task_Id; Thread_Self : Thread_Id) return Boolean is pragma Unreferenced (T); pragma Unreferenced (Thread_Self); begin return False; end Suspend_Task; ----------------- -- Resume_Task -- ----------------- function Resume_Task (T : ST.Task_Id; Thread_Self : Thread_Id) return Boolean is pragma Unreferenced (T); pragma Unreferenced (Thread_Self); begin return False; end Resume_Task; ---------------- -- Initialize -- ---------------- procedure Initialize (Environment_Task : Task_Id) is act : aliased struct_sigaction; old_act : aliased struct_sigaction; Tmp_Set : aliased sigset_t; Result : Interfaces.C.int; function State (Int : System.Interrupt_Management.Interrupt_ID) return Character; pragma Import (C, State, "__gnat_get_interrupt_state"); -- Get interrupt state. Defined in a-init.c. The input argument is -- the interrupt number, and the result is one of the following: Default : constant Character := 's'; -- 'n' this interrupt not set by any Interrupt_State pragma -- 'u' Interrupt_State pragma set state to User -- 'r' Interrupt_State pragma set state to Runtime -- 's' Interrupt_State pragma set state to System (use "default" -- system handler) begin Environment_Task_Id := Environment_Task; Interrupt_Management.Initialize; -- Initialize the lock used to synchronize chain of all ATCBs Initialize_Lock (Single_RTS_Lock'Access, RTS_Lock_Level); Specific.Initialize (Environment_Task); Enter_Task (Environment_Task); -- Install the abort-signal handler if State (System.Interrupt_Management.Abort_Task_Interrupt) /= Default then act.sa_flags := 0; act.sa_handler := Abort_Handler'Address; Result := sigemptyset (Tmp_Set'Access); pragma Assert (Result = 0); act.sa_mask := Tmp_Set; Result := sigaction ( Signal (System.Interrupt_Management.Abort_Task_Interrupt), act'Unchecked_Access, old_act'Unchecked_Access); pragma Assert (Result = 0); end if; end Initialize; -- NOTE: Unlike other pthread implementations, we do *not* mask all -- signals here since we handle signals using the process-wide primitive -- signal, rather than using sigthreadmask and sigwait. The reason of -- this difference is that sigwait doesn't work when some critical -- signals (SIGABRT, SIGPIPE) are masked. end System.Task_Primitives.Operations;
Ada
34,207
adb
1
28.649079
79
0.581723
With Ada.Text_IO; Use Ada.Text_IO; Procedure BuscaBinaria is numeros: array(1..15) of Integer; target : Integer; L : Integer; R : Integer; mid : Integer; found: Integer; -- Leitura String function Get_String return String is Line : String (1 .. 1_000); Last : Natural; begin Get_Line (Line, Last); return Line (1 .. Last); end Get_String; -- Leitura Integer function Get_Integer return Integer is S : constant String := Get_String; begin return Integer'Value (S); end Get_Integer; -- Lê 15 elementos do array procedure Faz_Leitura is begin for I in Integer range 1 .. 15 loop numeros(I) := Get_Integer; end loop; end Faz_Leitura; function binSearch return Integer is begin mid := (L + R) / 2; if numeros(mid) < target then L := mid + 1; return binSearch; end if; if numeros(mid) > target then R := mid - 1; return binSearch; end if; return mid; end binSearch; begin Faz_Leitura; target := Get_Integer; L := 1; R := 15; found := binSearch; Put_Line(Integer'Image(found)); end BuscaBinaria;
Ada
1,064
adb
null
19
39
0.675752
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . P O O L _ G L O B A L -- -- -- -- S p e c -- -- -- -- $Revision: 2 $ -- -- -- -- Copyright (c) 1992,1993,1994 NYU, All Rights Reserved -- -- -- -- The GNAT library is free software; you can redistribute it and/or modify -- -- it under terms of the GNU Library General Public License as published by -- -- the Free Software Foundation; either version 2, or (at your option) any -- -- later version. The GNAT library is distributed in the hope that it will -- -- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Library General Public License for more details. You should have -- -- received a copy of the GNU Library General Public License along with -- -- the GNAT library; see the file COPYING.LIB. If not, write to the Free -- -- Free Software Foundation, 675 Mass Ave, Cambridge, MA 02139, USA. -- -- -- ------------------------------------------------------------------------------ with System; with System.Storage_Pools; with System.Storage_Elements; package System.Pool_Global is pragma Elaborate_Body; -- Needed to ensure that library routines can execute allocators -- Allocation strategy: -- Call to malloc/free for each Allocate/Deallocate -- no user specifiable size -- no automatic reclaim -- minimal overhead -- Default pool in the compiler for access types globally declared type Unbounded_No_Reclaim_Pool is new System.Storage_Pools.Root_Storage_Pool with null record; function Storage_Size (Pool : Unbounded_No_Reclaim_Pool) return System.Storage_Elements.Storage_Count; procedure Allocate (Pool : in out Unbounded_No_Reclaim_Pool; Address : out System.Address; Storage_Size : System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count); procedure Deallocate (Pool : in out Unbounded_No_Reclaim_Pool; Address : System.Address; Storage_Size : System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count); -- Pool object for the compiler Global_Pool_Object : Unbounded_No_Reclaim_Pool; end System.Pool_Global;
Ada
3,150
ads
1
46.323529
78
0.49873
-- Note: This test does not yet work due to problems with -- declaring tasks. We can't abort without a task --with Ada.Text_IO; procedure Task_With_Abort is task AbortMe is entry Go; end AbortMe; task body AbortMe is begin accept Go; loop delay 1.0; --Ada.Text_IO.Put_Line("I'm not dead yet!"); end loop; end AbortMe; begin AbortMe.Go; delay 10.0; abort AbortMe; --Ada.Text_IO.Put_Line("Aborted AbortMe"); delay 2.0; end Task_With_Abort;
Ada
495
adb
null
17.678571
57
0.664646
----------------------------------------------------------------------- -- keystore-logs -- Log support for the keystore -- Copyright (C) 2019 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Encoders.Base16; with Keystore.Buffers; package body Keystore.Logs is procedure Dump (Log : in Util.Log.Loggers.Logger; Content : in Ada.Streams.Stream_Element_Array) is use type Ada.Streams.Stream_Element_Offset; begin if Log.Get_Level >= Util.Log.DEBUG_LEVEL then declare Encoder : Util.Encoders.Base16.Encoder; Start : Ada.Streams.Stream_Element_Offset := Content'First; Last : Ada.Streams.Stream_Element_Offset; begin while Start <= Content'Last loop Last := Start + 31; if Last > Content'Last then Last := Content'Last; end if; Log.Debug (" {0}", Encoder.Transform (Content (Start .. Last))); Start := Last + 1; end loop; end; end if; end Dump; procedure Error (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block) is begin if Log.Get_Level >= Util.Log.ERROR_LEVEL then Log.Error (Message, Buffers.To_String (Block)); end if; end Error; procedure Warn (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block) is begin if Log.Get_Level >= Util.Log.WARN_LEVEL then Log.Warn (Message, Buffers.To_String (Block)); end if; end Warn; procedure Info (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block) is begin if Log.Get_Level >= Util.Log.INFO_LEVEL then Log.Info (Message, Buffers.To_String (Block)); end if; end Info; procedure Debug (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block) is begin if Log.Get_Level >= Util.Log.DEBUG_LEVEL then Log.Debug (Message, Buffers.To_String (Block)); end if; end Debug; procedure Debug (Log : in Util.Log.Loggers.Logger; Message : in String; Block1 : in IO.Storage_Block; Block2 : in IO.Storage_Block) is begin if Log.Get_Level >= Util.Log.DEBUG_LEVEL then Log.Debug (Message, Buffers.To_String (Block1), Buffers.To_String (Block2)); end if; end Debug; procedure Debug (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block; Size : in IO.Block_Index) is begin if Log.Get_Level >= Util.Log.DEBUG_LEVEL then Log.Debug (Message, Buffers.To_String (Block), IO.Block_Index'Image (Size)); end if; end Debug; end Keystore.Logs;
Ada
3,721
adb
null
36.841584
85
0.572158
-- Program Bivectors -- Author Roger Mc Murtrie -- Created 16 May 2017 with Ada.Exceptions; use Ada.Exceptions; with Ada.Text_IO; use Ada.Text_IO; with Glfw; with Glfw.Windows; with Initialize; with Main_Loop; procedure Bivectors is Main_Window : Glfw.Windows.Window; Window_Title : String := "GA for Computer Scientists Draw Bivectors Example 2.1"; begin Glfw.Init; Initialize (Main_Window, Window_Title); Main_Loop (Main_Window); Glfw.Shutdown; exception when anError : Constraint_Error => Put ("Bivectors returned constraint error: "); Put_Line (Exception_Information (anError)); when anError : others => Put_Line ("An exception occurred in Bivectors."); Put_Line (Exception_Information (anError)); end Bivectors;
Ada
776
adb
3
23.515152
84
0.722938
-------------------------------------------------------------------------------------------------------------------- -- Copyright (c) 2013-2020, Luke A. Guest -- -- This software is provided 'as-is', without any express or implied -- warranty. In no event will the authors be held liable for any damages -- arising from the use of this software. -- -- Permission is granted to anyone to use this software for any purpose, -- including commercial applications, and to alter it and redistribute it -- freely, subject to the following restrictions: -- -- 1. The origin of this software must not be misrepresented; you must not -- claim that you wrote the original software. If you use this software -- in a product, an acknowledgment in the product documentation would be -- appreciated but is not required. -- -- 2. Altered source versions must be plainly marked as such, and must not be -- misrepresented as being the original software. -- -- 3. This notice may not be removed or altered from any source -- distribution. -------------------------------------------------------------------------------------------------------------------- -- Pixel_Format_Test_Cases -- -- Tests to check whether the memory layout of the pixel formats is correct. -------------------------------------------------------------------------------------------------------------------- with Interfaces.C; with AUnit; use AUnit; with AUnit.Simple_Test_Cases; package Pixel_Format_Test_Cases is type Pixel_Format_Test_Case is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (Test : Pixel_Format_Test_Case) return Message_String; overriding procedure Run_Test (Test : in out Pixel_Format_Test_Case); private package C renames Interfaces.C; C_Unknown : constant C.int with Import => True, Convention => C, External_Name => "c_unknown"; C_Index_1_LSB : constant C.int with Import => True, Convention => C, External_Name => "c_index1lsb"; C_Index_1_MSB : constant C.int with Import => True, Convention => C, External_Name => "c_index1msb"; C_Index_4_LSB : constant C.int with Import => True, Convention => C, External_Name => "c_index4lsb"; C_Index_4_MSB : constant C.int with Import => True, Convention => C, External_Name => "c_index4msb"; C_Index_8 : constant C.int with Import => True, Convention => C, External_Name => "c_index8"; C_RGB_332 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb332"; C_RGB_444 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb444"; C_RGB_555 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb555"; C_BGR_555 : constant C.int with Import => True, Convention => C, External_Name => "c_bgr555"; C_ARGB_4444 : constant C.int with Import => True, Convention => C, External_Name => "c_argb4444"; C_RGBA_4444 : constant C.int with Import => True, Convention => C, External_Name => "c_rgba4444"; C_ABGR_4444 : constant C.int with Import => True, Convention => C, External_Name => "c_abgr4444"; C_BGRA_4444 : constant C.int with Import => True, Convention => C, External_Name => "c_bgra4444"; C_ARGB_1555 : constant C.int with Import => True, Convention => C, External_Name => "c_argb1555"; C_RGBA_5551 : constant C.int with Import => True, Convention => C, External_Name => "c_rgba5551"; C_ABGR_1555 : constant C.int with Import => True, Convention => C, External_Name => "c_abgr1555"; C_BGRA_5551 : constant C.int with Import => True, Convention => C, External_Name => "c_bgra5551"; C_RGB_565 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb565"; C_BGR_565 : constant C.int with Import => True, Convention => C, External_Name => "c_bgr565"; C_RGB_24 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb24"; C_BGR_24 : constant C.int with Import => True, Convention => C, External_Name => "c_bgr24"; C_RGB_888 : constant C.int with Import => True, Convention => C, External_Name => "c_rgb888"; C_RGBX_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_rgbx8888"; C_BGR_888 : constant C.int with Import => True, Convention => C, External_Name => "c_bgr888"; C_BGRX_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_bgrx8888"; C_ARGB_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_argb8888"; C_RGBA_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_rgba8888"; C_ABGR_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_abgr8888"; C_BGRA_8888 : constant C.int with Import => True, Convention => C, External_Name => "c_bgra8888"; C_ARGB_2101010 : constant C.int with Import => True, Convention => C, External_Name => "c_argb2101010"; C_YV_12 : constant C.int with Import => True, Convention => C, External_Name => "c_yv12"; C_IYUV : constant C.int with Import => True, Convention => C, External_Name => "c_iyuv"; C_YUY_2 : constant C.int with Import => True, Convention => C, External_Name => "c_yuy2"; C_UYVY : constant C.int with Import => True, Convention => C, External_Name => "c_uyvy"; C_YVYU : constant C.int with Import => True, Convention => C, External_Name => "c_yvyu"; end Pixel_Format_Test_Cases;
Ada
6,332
ads
89
28.522523
116
0.567593
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . I N T E G E R _ W I D E _ T E X T _ I O -- -- -- -- S p e c -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. In accordance with the copyright of that document, you can freely -- -- copy and modify this specification, provided that if you redistribute a -- -- modified version, any changes that you have made are clearly indicated. -- -- -- ------------------------------------------------------------------------------ with Ada.Wide_Text_IO; package Ada.Integer_Wide_Text_IO is new Ada.Wide_Text_IO.Integer_IO (Integer);
Ada
1,212
ads
7
60.6
78
0.306931
package Array15 is function F (I : Integer) return Integer; end Array15;
Ada
78
ads
7
13
43
0.717949
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2015-2022, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ with STM32.Device; use STM32.Device; package body Serial_IO is ------------------------- -- Initialize_Hardware -- ------------------------- procedure Initialize_Hardware (Device : access Peripheral_Descriptor) is Configuration : GPIO_Port_Configuration; Device_Pins : constant GPIO_Points := Device.Rx_Pin & Device.Tx_Pin; begin Enable_Clock (Device_Pins); Enable_Clock (Device.Transceiver.all); Configuration := (Mode => Mode_AF, AF => Device.Transceiver_AF, AF_Speed => Speed_50MHz, AF_Output_Type => Push_Pull, Resistors => Pull_Up); Configure_IO (Device_Pins, Configuration); end Initialize_Hardware; --------------- -- Configure -- --------------- procedure Configure (Device : access Peripheral_Descriptor; Baud_Rate : Baud_Rates; Parity : Parities := No_Parity; Data_Bits : Word_Lengths := Word_Length_8; End_Bits : Stop_Bits := Stopbits_1; Control : Flow_Control := No_Flow_Control) is begin Disable (Device.Transceiver.all); Set_Baud_Rate (Device.Transceiver.all, Baud_Rate); Set_Mode (Device.Transceiver.all, Tx_Rx_Mode); Set_Stop_Bits (Device.Transceiver.all, End_Bits); Set_Word_Length (Device.Transceiver.all, Data_Bits); Set_Parity (Device.Transceiver.all, Parity); Set_Flow_Control (Device.Transceiver.all, Control); Enable (Device.Transceiver.all); end Configure; end Serial_IO;
Ada
4,003
adb
null
48.817073
78
0.540844
package body Alarm is end Alarm;
Ada
38
adb
null
7.6
21
0.684211
with Array23_Pkg2; package Array23_Pkg1 is C2 : Natural := Array23_Pkg2.C1; subtype Index is Natural range 0 .. C2; type Inner is array (Index) of Natural; type Arr is array (Array23_Pkg2.Index) of Inner; end Array23_Pkg1;
Ada
241
ads
7
17.214286
51
0.713693
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . S T O R A G E _ P O O L S . S U B P O O L S -- -- -- -- B o d y -- -- -- -- Copyright (C) 2011-2016, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Exceptions; use Ada.Exceptions; with Ada.Unchecked_Conversion; with System.Address_Image; with System.Finalization_Masters; use System.Finalization_Masters; with System.IO; use System.IO; with System.Soft_Links; use System.Soft_Links; with System.Storage_Elements; use System.Storage_Elements; with System.Storage_Pools.Subpools.Finalization; use System.Storage_Pools.Subpools.Finalization; package body System.Storage_Pools.Subpools is Finalize_Address_Table_In_Use : Boolean := False; -- This flag should be set only when a successfull allocation on a subpool -- has been performed and the associated Finalize_Address has been added to -- the hash table in System.Finalization_Masters. function Address_To_FM_Node_Ptr is new Ada.Unchecked_Conversion (Address, FM_Node_Ptr); procedure Attach (N : not null SP_Node_Ptr; L : not null SP_Node_Ptr); -- Attach a subpool node to a pool ----------------------------------- -- Adjust_Controlled_Dereference -- ----------------------------------- procedure Adjust_Controlled_Dereference (Addr : in out System.Address; Storage_Size : in out System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count) is Header_And_Padding : constant Storage_Offset := Header_Size_With_Padding (Alignment); begin -- Expose the two hidden pointers by shifting the address from the -- start of the object to the FM_Node equivalent of the pointers. Addr := Addr - Header_And_Padding; -- Update the size of the object to include the two pointers Storage_Size := Storage_Size + Header_And_Padding; end Adjust_Controlled_Dereference; -------------- -- Allocate -- -------------- overriding procedure Allocate (Pool : in out Root_Storage_Pool_With_Subpools; Storage_Address : out System.Address; Size_In_Storage_Elements : System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count) is begin -- Dispatch to the user-defined implementations of Allocate_From_Subpool -- and Default_Subpool_For_Pool. Allocate_From_Subpool (Root_Storage_Pool_With_Subpools'Class (Pool), Storage_Address, Size_In_Storage_Elements, Alignment, Default_Subpool_For_Pool (Root_Storage_Pool_With_Subpools'Class (Pool))); end Allocate; ----------------------------- -- Allocate_Any_Controlled -- ----------------------------- procedure Allocate_Any_Controlled (Pool : in out Root_Storage_Pool'Class; Context_Subpool : Subpool_Handle; Context_Master : Finalization_Masters.Finalization_Master_Ptr; Fin_Address : Finalization_Masters.Finalize_Address_Ptr; Addr : out System.Address; Storage_Size : System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count; Is_Controlled : Boolean; On_Subpool : Boolean) is Is_Subpool_Allocation : constant Boolean := Pool in Root_Storage_Pool_With_Subpools'Class; Master : Finalization_Master_Ptr := null; N_Addr : Address; N_Ptr : FM_Node_Ptr; N_Size : Storage_Count; Subpool : Subpool_Handle := null; Header_And_Padding : Storage_Offset; -- This offset includes the size of a FM_Node plus any additional -- padding due to a larger alignment. begin -- Step 1: Pool-related runtime checks -- Allocation on a pool_with_subpools. In this scenario there is a -- master for each subpool. The master of the access type is ignored. if Is_Subpool_Allocation then -- Case of an allocation without a Subpool_Handle. Dispatch to the -- implementation of Default_Subpool_For_Pool. if Context_Subpool = null then Subpool := Default_Subpool_For_Pool (Root_Storage_Pool_With_Subpools'Class (Pool)); -- Allocation with a Subpool_Handle else Subpool := Context_Subpool; end if; -- Ensure proper ownership and chaining of the subpool if Subpool.Owner /= Root_Storage_Pool_With_Subpools'Class (Pool)'Unchecked_Access or else Subpool.Node = null or else Subpool.Node.Prev = null or else Subpool.Node.Next = null then raise Program_Error with "incorrect owner of subpool"; end if; Master := Subpool.Master'Unchecked_Access; -- Allocation on a simple pool. In this scenario there is a master for -- each access-to-controlled type. No context subpool should be present. else -- If the master is missing, then the expansion of the access type -- failed to create one. This is a compiler bug. pragma Assert (Context_Master /= null, "missing master in pool allocation"); -- If a subpool is present, then this is the result of erroneous -- allocator expansion. This is not a serious error, but it should -- still be detected. if Context_Subpool /= null then raise Program_Error with "subpool not required in pool allocation"; end if; -- If the allocation is intended to be on a subpool, but the access -- type's pool does not support subpools, then this is the result of -- incorrect end-user code. if On_Subpool then raise Program_Error with "pool of access type does not support subpools"; end if; Master := Context_Master; end if; -- Step 2: Master, Finalize_Address-related runtime checks and size -- calculations. -- Allocation of a descendant from [Limited_]Controlled, a class-wide -- object or a record with controlled components. if Is_Controlled then -- Synchronization: -- Read - allocation, finalization -- Write - finalization Lock_Task.all; -- Do not allow the allocation of controlled objects while the -- associated master is being finalized. if Finalization_Started (Master.all) then raise Program_Error with "allocation after finalization started"; end if; -- Check whether primitive Finalize_Address is available. If it is -- not, then either the expansion of the designated type failed or -- the expansion of the allocator failed. This is a compiler bug. pragma Assert (Fin_Address /= null, "primitive Finalize_Address not available"); -- The size must account for the hidden header preceding the object. -- Account for possible padding space before the header due to a -- larger alignment. Header_And_Padding := Header_Size_With_Padding (Alignment); N_Size := Storage_Size + Header_And_Padding; -- Non-controlled allocation else N_Size := Storage_Size; end if; -- Step 3: Allocation of object -- For descendants of Root_Storage_Pool_With_Subpools, dispatch to the -- implementation of Allocate_From_Subpool. if Is_Subpool_Allocation then Allocate_From_Subpool (Root_Storage_Pool_With_Subpools'Class (Pool), N_Addr, N_Size, Alignment, Subpool); -- For descendants of Root_Storage_Pool, dispatch to the implementation -- of Allocate. else Allocate (Pool, N_Addr, N_Size, Alignment); end if; -- Step 4: Attachment if Is_Controlled then -- Note that we already did "Lock_Task.all;" in Step 2 above -- Map the allocated memory into a FM_Node record. This converts the -- top of the allocated bits into a list header. If there is padding -- due to larger alignment, the header is placed right next to the -- object: -- N_Addr N_Ptr -- | | -- V V -- +-------+---------------+----------------------+ -- |Padding| Header | Object | -- +-------+---------------+----------------------+ -- ^ ^ ^ -- | +- Header_Size -+ -- | | -- +- Header_And_Padding --+ N_Ptr := Address_To_FM_Node_Ptr (N_Addr + Header_And_Padding - Header_Size); -- Prepend the allocated object to the finalization master -- Synchronization: -- Write - allocation, deallocation, finalization Attach_Unprotected (N_Ptr, Objects (Master.all)); -- Move the address from the hidden list header to the start of the -- object. This operation effectively hides the list header. Addr := N_Addr + Header_And_Padding; -- Homogeneous masters service the following: -- 1) Allocations on / Deallocations from regular pools -- 2) Named access types -- 3) Most cases of anonymous access types usage -- Synchronization: -- Read - allocation, finalization -- Write - outside if Master.Is_Homogeneous then -- Synchronization: -- Read - finalization -- Write - allocation, outside Set_Finalize_Address_Unprotected (Master.all, Fin_Address); -- Heterogeneous masters service the following: -- 1) Allocations on / Deallocations from subpools -- 2) Certain cases of anonymous access types usage else -- Synchronization: -- Read - finalization -- Write - allocation, deallocation Set_Heterogeneous_Finalize_Address_Unprotected (Addr, Fin_Address); Finalize_Address_Table_In_Use := True; end if; Unlock_Task.all; -- Non-controlled allocation else Addr := N_Addr; end if; exception when others => -- Unlock the task in case the allocation step failed and reraise the -- exception. if Is_Controlled then Unlock_Task.all; end if; raise; end Allocate_Any_Controlled; ------------ -- Attach -- ------------ procedure Attach (N : not null SP_Node_Ptr; L : not null SP_Node_Ptr) is begin -- Ensure that the node has not been attached already pragma Assert (N.Prev = null and then N.Next = null); Lock_Task.all; L.Next.Prev := N; N.Next := L.Next; L.Next := N; N.Prev := L; Unlock_Task.all; -- Note: No need to unlock in case of an exception because the above -- code can never raise one. end Attach; ------------------------------- -- Deallocate_Any_Controlled -- ------------------------------- procedure Deallocate_Any_Controlled (Pool : in out Root_Storage_Pool'Class; Addr : System.Address; Storage_Size : System.Storage_Elements.Storage_Count; Alignment : System.Storage_Elements.Storage_Count; Is_Controlled : Boolean) is N_Addr : Address; N_Ptr : FM_Node_Ptr; N_Size : Storage_Count; Header_And_Padding : Storage_Offset; -- This offset includes the size of a FM_Node plus any additional -- padding due to a larger alignment. begin -- Step 1: Detachment if Is_Controlled then Lock_Task.all; begin -- Destroy the relation pair object - Finalize_Address since it is -- no longer needed. if Finalize_Address_Table_In_Use then -- Synchronization: -- Read - finalization -- Write - allocation, deallocation Delete_Finalize_Address_Unprotected (Addr); end if; -- Account for possible padding space before the header due to a -- larger alignment. Header_And_Padding := Header_Size_With_Padding (Alignment); -- N_Addr N_Ptr Addr (from input) -- | | | -- V V V -- +-------+---------------+----------------------+ -- |Padding| Header | Object | -- +-------+---------------+----------------------+ -- ^ ^ ^ -- | +- Header_Size -+ -- | | -- +- Header_And_Padding --+ -- Convert the bits preceding the object into a list header N_Ptr := Address_To_FM_Node_Ptr (Addr - Header_Size); -- Detach the object from the related finalization master. This -- action does not need to know the prior context used during -- allocation. -- Synchronization: -- Write - allocation, deallocation, finalization Detach_Unprotected (N_Ptr); -- Move the address from the object to the beginning of the list -- header. N_Addr := Addr - Header_And_Padding; -- The size of the deallocated object must include the size of the -- hidden list header. N_Size := Storage_Size + Header_And_Padding; Unlock_Task.all; exception when others => -- Unlock the task in case the computations performed above -- fail for some reason. Unlock_Task.all; raise; end; else N_Addr := Addr; N_Size := Storage_Size; end if; -- Step 2: Deallocation -- Dispatch to the proper implementation of Deallocate. This action -- covers both Root_Storage_Pool and Root_Storage_Pool_With_Subpools -- implementations. Deallocate (Pool, N_Addr, N_Size, Alignment); end Deallocate_Any_Controlled; ------------------------------ -- Default_Subpool_For_Pool -- ------------------------------ function Default_Subpool_For_Pool (Pool : in out Root_Storage_Pool_With_Subpools) return not null Subpool_Handle is pragma Unreferenced (Pool); begin return raise Program_Error with "default Default_Subpool_For_Pool called; must be overridden"; end Default_Subpool_For_Pool; ------------ -- Detach -- ------------ procedure Detach (N : not null SP_Node_Ptr) is begin -- Ensure that the node is attached to some list pragma Assert (N.Next /= null and then N.Prev /= null); Lock_Task.all; N.Prev.Next := N.Next; N.Next.Prev := N.Prev; N.Prev := null; N.Next := null; Unlock_Task.all; -- Note: No need to unlock in case of an exception because the above -- code can never raise one. end Detach; -------------- -- Finalize -- -------------- overriding procedure Finalize (Controller : in out Pool_Controller) is begin Finalize_Pool (Controller.Enclosing_Pool.all); end Finalize; ------------------- -- Finalize_Pool -- ------------------- procedure Finalize_Pool (Pool : in out Root_Storage_Pool_With_Subpools) is Curr_Ptr : SP_Node_Ptr; Ex_Occur : Exception_Occurrence; Raised : Boolean := False; function Is_Empty_List (L : not null SP_Node_Ptr) return Boolean; -- Determine whether a list contains only one element, the dummy head ------------------- -- Is_Empty_List -- ------------------- function Is_Empty_List (L : not null SP_Node_Ptr) return Boolean is begin return L.Next = L and then L.Prev = L; end Is_Empty_List; -- Start of processing for Finalize_Pool begin -- It is possible for multiple tasks to cause the finalization of a -- common pool. Allow only one task to finalize the contents. if Pool.Finalization_Started then return; end if; -- Lock the pool to prevent the creation of additional subpools while -- the available ones are finalized. The pool remains locked because -- either it is about to be deallocated or the associated access type -- is about to go out of scope. Pool.Finalization_Started := True; while not Is_Empty_List (Pool.Subpools'Unchecked_Access) loop Curr_Ptr := Pool.Subpools.Next; -- Perform the following actions: -- 1) Finalize all objects chained on the subpool's master -- 2) Remove the subpool from the owner's list of subpools -- 3) Deallocate the doubly linked list node associated with the -- subpool. -- 4) Call Deallocate_Subpool begin Finalize_And_Deallocate (Curr_Ptr.Subpool); exception when Fin_Occur : others => if not Raised then Raised := True; Save_Occurrence (Ex_Occur, Fin_Occur); end if; end; end loop; -- If the finalization of a particular master failed, reraise the -- exception now. if Raised then Reraise_Occurrence (Ex_Occur); end if; end Finalize_Pool; ------------------------------ -- Header_Size_With_Padding -- ------------------------------ function Header_Size_With_Padding (Alignment : System.Storage_Elements.Storage_Count) return System.Storage_Elements.Storage_Count is Size : constant Storage_Count := Header_Size; begin if Size mod Alignment = 0 then return Size; -- Add enough padding to reach the nearest multiple of the alignment -- rounding up. else return ((Size + Alignment - 1) / Alignment) * Alignment; end if; end Header_Size_With_Padding; ---------------- -- Initialize -- ---------------- overriding procedure Initialize (Controller : in out Pool_Controller) is begin Initialize_Pool (Controller.Enclosing_Pool.all); end Initialize; --------------------- -- Initialize_Pool -- --------------------- procedure Initialize_Pool (Pool : in out Root_Storage_Pool_With_Subpools) is begin -- The dummy head must point to itself in both directions Pool.Subpools.Next := Pool.Subpools'Unchecked_Access; Pool.Subpools.Prev := Pool.Subpools'Unchecked_Access; end Initialize_Pool; --------------------- -- Pool_Of_Subpool -- --------------------- function Pool_Of_Subpool (Subpool : not null Subpool_Handle) return access Root_Storage_Pool_With_Subpools'Class is begin return Subpool.Owner; end Pool_Of_Subpool; ---------------- -- Print_Pool -- ---------------- procedure Print_Pool (Pool : Root_Storage_Pool_With_Subpools) is Head : constant SP_Node_Ptr := Pool.Subpools'Unrestricted_Access; Head_Seen : Boolean := False; SP_Ptr : SP_Node_Ptr; begin -- Output the contents of the pool -- Pool : 0x123456789 -- Subpools : 0x123456789 -- Fin_Start : TRUE <or> FALSE -- Controller: OK <or> NOK Put ("Pool : "); Put_Line (Address_Image (Pool'Address)); Put ("Subpools : "); Put_Line (Address_Image (Pool.Subpools'Address)); Put ("Fin_Start : "); Put_Line (Pool.Finalization_Started'Img); Put ("Controlled: "); if Pool.Controller.Enclosing_Pool = Pool'Unrestricted_Access then Put_Line ("OK"); else Put_Line ("NOK (ERROR)"); end if; SP_Ptr := Head; while SP_Ptr /= null loop -- Should never be null Put_Line ("V"); -- We see the head initially; we want to exit when we see the head a -- second time. if SP_Ptr = Head then exit when Head_Seen; Head_Seen := True; end if; -- The current element is null. This should never happend since the -- list is circular. if SP_Ptr.Prev = null then Put_Line ("null (ERROR)"); -- The current element points back to the correct element elsif SP_Ptr.Prev.Next = SP_Ptr then Put_Line ("^"); -- The current element points to an erroneous element else Put_Line ("? (ERROR)"); end if; -- Output the contents of the node Put ("|Header: "); Put (Address_Image (SP_Ptr.all'Address)); if SP_Ptr = Head then Put_Line (" (dummy head)"); else Put_Line (""); end if; Put ("| Prev: "); if SP_Ptr.Prev = null then Put_Line ("null"); else Put_Line (Address_Image (SP_Ptr.Prev.all'Address)); end if; Put ("| Next: "); if SP_Ptr.Next = null then Put_Line ("null"); else Put_Line (Address_Image (SP_Ptr.Next.all'Address)); end if; Put ("| Subp: "); if SP_Ptr.Subpool = null then Put_Line ("null"); else Put_Line (Address_Image (SP_Ptr.Subpool.all'Address)); end if; SP_Ptr := SP_Ptr.Next; end loop; end Print_Pool; ------------------- -- Print_Subpool -- ------------------- procedure Print_Subpool (Subpool : Subpool_Handle) is begin if Subpool = null then Put_Line ("null"); return; end if; -- Output the contents of a subpool -- Owner : 0x123456789 -- Master: 0x123456789 -- Node : 0x123456789 Put ("Owner : "); if Subpool.Owner = null then Put_Line ("null"); else Put_Line (Address_Image (Subpool.Owner'Address)); end if; Put ("Master: "); Put_Line (Address_Image (Subpool.Master'Address)); Put ("Node : "); if Subpool.Node = null then Put ("null"); if Subpool.Owner = null then Put_Line (" OK"); else Put_Line (" (ERROR)"); end if; else Put_Line (Address_Image (Subpool.Node'Address)); end if; Print_Master (Subpool.Master); end Print_Subpool; ------------------------- -- Set_Pool_Of_Subpool -- ------------------------- procedure Set_Pool_Of_Subpool (Subpool : not null Subpool_Handle; To : in out Root_Storage_Pool_With_Subpools'Class) is N_Ptr : SP_Node_Ptr; begin -- If the subpool is already owned, raise Program_Error. This is a -- direct violation of the RM rules. if Subpool.Owner /= null then raise Program_Error with "subpool already belongs to a pool"; end if; -- Prevent the creation of a new subpool while the owner is being -- finalized. This is a serious error. if To.Finalization_Started then raise Program_Error with "subpool creation after finalization started"; end if; Subpool.Owner := To'Unchecked_Access; -- Create a subpool node and decorate it. Since this node is not -- allocated on the owner's pool, it must be explicitly destroyed by -- Finalize_And_Detach. N_Ptr := new SP_Node; N_Ptr.Subpool := Subpool; Subpool.Node := N_Ptr; Attach (N_Ptr, To.Subpools'Unchecked_Access); -- Mark the subpool's master as being a heterogeneous collection of -- controlled objects. Set_Is_Heterogeneous (Subpool.Master); end Set_Pool_Of_Subpool; end System.Storage_Pools.Subpools;
Ada
26,485
adb
7
31.94813
79
0.560581
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding Samples -- -- -- -- Sample.Form_Demo.Handler -- -- -- -- S P E C -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control -- $Revision: 1.9 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ with Terminal_Interface.Curses; use Terminal_Interface.Curses; with Terminal_Interface.Curses.Panels; use Terminal_Interface.Curses.Panels; with Terminal_Interface.Curses.Forms; use Terminal_Interface.Curses.Forms; generic with function My_Driver (Frm : Form; K : Key_Code; Pan : Panel) return Boolean; package Sample.Form_Demo.Handler is procedure Drive_Me (F : in Form; Lin : in Line_Position; Col : in Column_Position; Title : in String := ""); -- Position the menu at the given point and drive it. procedure Drive_Me (F : in Form; Title : in String := ""); -- Center menu and drive it. end Sample.Form_Demo.Handler;
Ada
3,755
ads
35
57.769231
78
0.443675
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>Loop_Xpose_Row_Outer</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>row_outbuf_i</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>64</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>col_inbuf_0</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>col_inbuf_1</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>col_inbuf_2</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>col_inbuf_3</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>col_inbuf_4</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>col_inbuf_5</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>col_inbuf_6</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>col_inbuf_7</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>48</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_10"> <Value> <Obj> <type>0</type> <id>10</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>77</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>12</id> <name>indvar_flatten</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>131</item> <item>132</item> <item>133</item> <item>134</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>13</id> <name>j_0_i</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second class_id="12" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>135</item> <item>136</item> <item>137</item> <item>138</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>14</id> <name>i_1_i</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>139</item> <item>140</item> <item>141</item> <item>142</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>15</id> <name>exitcond_flatten</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName>exitcond_flatten_fu_221_p2</rtlName> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>143</item> <item>145</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>16</id> <name>indvar_flatten_next</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName>indvar_flatten_next_fu_227_p2</rtlName> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>146</item> <item>148</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>17</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>149</item> <item>150</item> <item>151</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>19</id> <name>j</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName>j</originalName> <rtlName>j_fu_233_p2</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>79</item> <item>80</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_s</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_s_fu_239_p2</rtlName> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>81</item> <item>83</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>23</id> <name>i_1_i_mid2</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>i_1_i_mid2_fu_245_p3</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>84</item> <item>86</item> <item>87</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>24</id> <name>tmp_3_mid2_v</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_3_mid2_v_fu_253_p3</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>88</item> <item>89</item> <item>90</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>25</id> <name>tmp_3_mid2</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_3_mid2_fu_295_p1</rtlName> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>91</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>26</id> <name>tmp_3_mid2_cast</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_3_mid2_cast_fu_265_p1</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>92</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_fu_268_p3</rtlName> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>94</item> <item>95</item> <item>97</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>31</id> <name>tmp_8_cast</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_8_cast_fu_275_p1</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>98</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_9</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_9_fu_279_p2</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>99</item> <item>100</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>33</id> <name>tmp_9_cast</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_9_cast_fu_285_p1</rtlName> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>101</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>34</id> <name>row_outbuf_i_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>102</item> <item>104</item> <item>105</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>35</id> <name>row_outbuf_i_load</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>106</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_3</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_3_fu_261_p1</rtlName> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>107</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>37</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>16</count> <item_version>0</item_version> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>113</item> <item>114</item> <item>116</item> <item>117</item> <item>119</item> <item>120</item> <item>122</item> <item>123</item> <item>125</item> <item>126</item> <item>128</item> <item>129</item> </oprand_edges> <opcode>switch</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>39</id> <name>col_inbuf_6_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>191</item> <item>192</item> <item>193</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>40</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>194</item> <item>195</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>41</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>196</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>43</id> <name>col_inbuf_5_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>185</item> <item>186</item> <item>187</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>44</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>188</item> <item>189</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>45</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>190</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>47</id> <name>col_inbuf_4_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>179</item> <item>180</item> <item>181</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>48</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>182</item> <item>183</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>49</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>184</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>51</id> <name>col_inbuf_3_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>173</item> <item>174</item> <item>175</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>52</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>176</item> <item>177</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>53</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>178</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>55</id> <name>col_inbuf_2_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>167</item> <item>168</item> <item>169</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>56</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>170</item> <item>171</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>57</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>172</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>59</id> <name>col_inbuf_1_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>161</item> <item>162</item> <item>163</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>60</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>164</item> <item>165</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>61</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>166</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>63</id> <name>col_inbuf_0_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>155</item> <item>156</item> <item>157</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>64</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>158</item> <item>159</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>65</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>160</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>67</id> <name>col_inbuf_7_addr</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>197</item> <item>198</item> <item>199</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>68</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>200</item> <item>201</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>69</id> <name/> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>202</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>72</id> <name>i</name> <fileName>dct.c</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/lfvelez/Documentos/ISPR/HLS/labsource/labs/lab3</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.c</first> <second>dct</second> </first> <second>87</second> </item> <item> <first> <first>dct.c</first> <second>dct_2d</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName>i_fu_290_p2</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>152</item> <item>153</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>73</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>154</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>75</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_58"> <Value> <Obj> <type>2</type> <id>78</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_59"> <Value> <Obj> <type>2</type> <id>82</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>8</content> </item> <item class_id_reference="16" object_id="_60"> <Value> <Obj> <type>2</type> <id>85</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_61"> <Value> <Obj> <type>2</type> <id>96</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_62"> <Value> <Obj> <type>2</type> <id>103</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_63"> <Value> <Obj> <type>2</type> <id>112</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_64"> <Value> <Obj> <type>2</type> <id>115</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_65"> <Value> <Obj> <type>2</type> <id>118</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_66"> <Value> <Obj> <type>2</type> <id>121</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_67"> <Value> <Obj> <type>2</type> <id>124</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>5</content> </item> <item class_id_reference="16" object_id="_68"> <Value> <Obj> <type>2</type> <id>127</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>6</content> </item> <item class_id_reference="16" object_id="_69"> <Value> <Obj> <type>2</type> <id>130</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_70"> <Value> <Obj> <type>2</type> <id>144</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>64</content> </item> <item class_id_reference="16" object_id="_71"> <Value> <Obj> <type>2</type> <id>147</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_72"> <Obj> <type>3</type> <id>11</id> <name>newFuncRoot</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>10</item> </node_objs> </item> <item class_id_reference="18" object_id="_73"> <Obj> <type>3</type> <id>18</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> <item>17</item> </node_objs> </item> <item class_id_reference="18" object_id="_74"> <Obj> <type>3</type> <id>38</id> <name>.preheader2.i</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>14</count> <item_version>0</item_version> <item>19</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> </node_objs> </item> <item class_id_reference="18" object_id="_75"> <Obj> <type>3</type> <id>42</id> <name>branch6</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>39</item> <item>40</item> <item>41</item> </node_objs> </item> <item class_id_reference="18" object_id="_76"> <Obj> <type>3</type> <id>46</id> <name>branch5</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>43</item> <item>44</item> <item>45</item> </node_objs> </item> <item class_id_reference="18" object_id="_77"> <Obj> <type>3</type> <id>50</id> <name>branch4</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>47</item> <item>48</item> <item>49</item> </node_objs> </item> <item class_id_reference="18" object_id="_78"> <Obj> <type>3</type> <id>54</id> <name>branch3</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>53</item> </node_objs> </item> <item class_id_reference="18" object_id="_79"> <Obj> <type>3</type> <id>58</id> <name>branch2</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>55</item> <item>56</item> <item>57</item> </node_objs> </item> <item class_id_reference="18" object_id="_80"> <Obj> <type>3</type> <id>62</id> <name>branch1</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>59</item> <item>60</item> <item>61</item> </node_objs> </item> <item class_id_reference="18" object_id="_81"> <Obj> <type>3</type> <id>66</id> <name>branch0</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>63</item> <item>64</item> <item>65</item> </node_objs> </item> <item class_id_reference="18" object_id="_82"> <Obj> <type>3</type> <id>70</id> <name>branch7</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>67</item> <item>68</item> <item>69</item> </node_objs> </item> <item class_id_reference="18" object_id="_83"> <Obj> <type>3</type> <id>74</id> <name>ifBlock</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>72</item> <item>73</item> </node_objs> </item> <item class_id_reference="18" object_id="_84"> <Obj> <type>3</type> <id>76</id> <name>.preheader1.i.exitStub</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>75</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>130</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_85"> <id>77</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_86"> <id>79</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_87"> <id>80</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_88"> <id>81</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_89"> <id>83</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_90"> <id>84</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_91"> <id>86</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_92"> <id>87</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_93"> <id>88</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_94"> <id>89</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_95"> <id>90</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_96"> <id>91</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_97"> <id>92</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_98"> <id>95</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_99"> <id>97</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_100"> <id>98</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_101"> <id>99</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_102"> <id>100</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_103"> <id>101</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_104"> <id>102</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_105"> <id>104</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_106"> <id>105</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_107"> <id>106</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_108"> <id>107</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_109"> <id>108</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_110"> <id>109</id> <edge_type>2</edge_type> <source_obj>70</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_111"> <id>110</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_112"> <id>111</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_113"> <id>113</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_114"> <id>114</id> <edge_type>2</edge_type> <source_obj>62</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_115"> <id>116</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_116"> <id>117</id> <edge_type>2</edge_type> <source_obj>58</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_117"> <id>119</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_118"> <id>120</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_119"> <id>122</id> <edge_type>1</edge_type> <source_obj>121</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_120"> <id>123</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_121"> <id>125</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_122"> <id>126</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_123"> <id>128</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_124"> <id>129</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_125"> <id>131</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_126"> <id>132</id> <edge_type>2</edge_type> <source_obj>11</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_127"> <id>133</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_128"> <id>134</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_129"> <id>135</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_130"> <id>136</id> <edge_type>2</edge_type> <source_obj>11</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_131"> <id>137</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_132"> <id>138</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_133"> <id>139</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_134"> <id>140</id> <edge_type>2</edge_type> <source_obj>11</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_135"> <id>141</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_136"> <id>142</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_137"> <id>143</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_138"> <id>145</id> <edge_type>1</edge_type> <source_obj>144</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_139"> <id>146</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_140"> <id>148</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_141"> <id>149</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_142"> <id>150</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_143"> <id>151</id> <edge_type>2</edge_type> <source_obj>76</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_144"> <id>152</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>72</sink_obj> </item> <item class_id_reference="20" object_id="_145"> <id>153</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>72</sink_obj> </item> <item class_id_reference="20" object_id="_146"> <id>154</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>73</sink_obj> </item> <item class_id_reference="20" object_id="_147"> <id>155</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>63</sink_obj> </item> <item class_id_reference="20" object_id="_148"> <id>156</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>63</sink_obj> </item> <item class_id_reference="20" object_id="_149"> <id>157</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>63</sink_obj> </item> <item class_id_reference="20" object_id="_150"> <id>158</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>64</sink_obj> </item> <item class_id_reference="20" object_id="_151"> <id>159</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>64</sink_obj> </item> <item class_id_reference="20" object_id="_152"> <id>160</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>65</sink_obj> </item> <item class_id_reference="20" object_id="_153"> <id>161</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_154"> <id>162</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_155"> <id>163</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_156"> <id>164</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>60</sink_obj> </item> <item class_id_reference="20" object_id="_157"> <id>165</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>60</sink_obj> </item> <item class_id_reference="20" object_id="_158"> <id>166</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>61</sink_obj> </item> <item class_id_reference="20" object_id="_159"> <id>167</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_160"> <id>168</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_161"> <id>169</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_162"> <id>170</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>56</sink_obj> </item> <item class_id_reference="20" object_id="_163"> <id>171</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>56</sink_obj> </item> <item class_id_reference="20" object_id="_164"> <id>172</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>57</sink_obj> </item> <item class_id_reference="20" object_id="_165"> <id>173</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_166"> <id>174</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_167"> <id>175</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_168"> <id>176</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_169"> <id>177</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_170"> <id>178</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>53</sink_obj> </item> <item class_id_reference="20" object_id="_171"> <id>179</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_172"> <id>180</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_173"> <id>181</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_174"> <id>182</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_175"> <id>183</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_176"> <id>184</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>49</sink_obj> </item> <item class_id_reference="20" object_id="_177"> <id>185</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_178"> <id>186</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_179"> <id>187</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_180"> <id>188</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>44</sink_obj> </item> <item class_id_reference="20" object_id="_181"> <id>189</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>44</sink_obj> </item> <item class_id_reference="20" object_id="_182"> <id>190</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_183"> <id>191</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_184"> <id>192</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_185"> <id>193</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_186"> <id>194</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_187"> <id>195</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_188"> <id>196</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_189"> <id>197</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>67</sink_obj> </item> <item class_id_reference="20" object_id="_190"> <id>198</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>67</sink_obj> </item> <item class_id_reference="20" object_id="_191"> <id>199</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>67</sink_obj> </item> <item class_id_reference="20" object_id="_192"> <id>200</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>68</sink_obj> </item> <item class_id_reference="20" object_id="_193"> <id>201</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>68</sink_obj> </item> <item class_id_reference="20" object_id="_194"> <id>202</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>69</sink_obj> </item> <item class_id_reference="20" object_id="_195"> <id>234</id> <edge_type>2</edge_type> <source_obj>11</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_196"> <id>235</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>76</sink_obj> </item> <item class_id_reference="20" object_id="_197"> <id>236</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_198"> <id>237</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>70</sink_obj> </item> <item class_id_reference="20" object_id="_199"> <id>238</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>66</sink_obj> </item> <item class_id_reference="20" object_id="_200"> <id>239</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>62</sink_obj> </item> <item class_id_reference="20" object_id="_201"> <id>240</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>58</sink_obj> </item> <item class_id_reference="20" object_id="_202"> <id>241</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>54</sink_obj> </item> <item class_id_reference="20" object_id="_203"> <id>242</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>50</sink_obj> </item> <item class_id_reference="20" object_id="_204"> <id>243</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>46</sink_obj> </item> <item class_id_reference="20" object_id="_205"> <id>244</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>42</sink_obj> </item> <item class_id_reference="20" object_id="_206"> <id>245</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_207"> <id>246</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_208"> <id>247</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_209"> <id>248</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_210"> <id>249</id> <edge_type>2</edge_type> <source_obj>58</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_211"> <id>250</id> <edge_type>2</edge_type> <source_obj>62</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_212"> <id>251</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_213"> <id>252</id> <edge_type>2</edge_type> <source_obj>70</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_214"> <id>253</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>18</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_215"> <mId>1</mId> <mTag>Loop_Xpose_Row_Outer</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>67</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_216"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>11</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_217"> <mId>3</mId> <mTag>Xpose_Row_Outer_Loop_Xpose_Row_Inner_Loop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>11</count> <item_version>0</item_version> <item>18</item> <item>38</item> <item>42</item> <item>46</item> <item>50</item> <item>54</item> <item>58</item> <item>62</item> <item>66</item> <item>70</item> <item>74</item> </basic_blocks> <mII>1</mII> <mDepth>3</mDepth> <mMinTripCount>64</mMinTripCount> <mMaxTripCount>64</mMaxTripCount> <mMinLatency>65</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_218"> <mId>4</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>76</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_219"> <states class_id="25" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_220"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_221"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_222"> <id>2</id> <operations> <count>19</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_223"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_224"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_225"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_226"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_227"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_228"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_229"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_230"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_231"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_232"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_233"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_234"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_235"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_236"> <id>49</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_237"> <id>53</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_238"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_239"> <id>61</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_240"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_241"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_242"> <id>3</id> <operations> <count>9</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_243"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_244"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_245"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_246"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_247"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_248"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_249"> <id>35</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_250"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_251"> <id>72</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_252"> <id>4</id> <operations> <count>25</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_253"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_254"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_255"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_256"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_257"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_258"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_259"> <id>35</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_260"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_261"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_262"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_263"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_264"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_265"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_266"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_267"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_268"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_269"> <id>56</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_270"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_271"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_272"> <id>63</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_273"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_274"> <id>67</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_275"> <id>68</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_276"> <id>71</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_277"> <id>73</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_278"> <id>5</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_279"> <id>75</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_280"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>85</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_281"> <inState>3</inState> <outState>4</outState> <condition> <id>111</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_282"> <inState>4</inState> <outState>2</outState> <condition> <id>112</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_283"> <inState>2</inState> <outState>5</outState> <condition> <id>110</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>15</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_284"> <inState>2</inState> <outState>3</outState> <condition> <id>113</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>15</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="36" tracking_level="1" version="0" object_id="_285"> <dp_component_resource class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_resource> <dp_expression_resource> <count>11</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>ap_block_state1 ( or ) </first> <second class_id="39" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>ap_enable_pp0 ( xor ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>2</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter1 ( xor ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>2</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>exitcond_flatten_fu_221_p2 ( icmp ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>7</second> </item> <item> <first>(1P1)</first> <second>8</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>4</second> </item> </second> </item> <item> <first>i_1_i_mid2_fu_245_p3 ( select ) </first> <second> <count>5</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>(2P2)</first> <second>4</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>4</second> </item> </second> </item> <item> <first>i_fu_290_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>13</second> </item> </second> </item> <item> <first>indvar_flatten_next_fu_227_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>7</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>j_fu_233_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>4</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>13</second> </item> </second> </item> <item> <first>tmp_3_mid2_v_fu_253_p3 ( select ) </first> <second> <count>5</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>4</second> </item> <item> <first>(2P2)</first> <second>4</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>4</second> </item> </second> </item> <item> <first>tmp_9_fu_279_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>8</second> </item> <item> <first>(1P1)</first> <second>8</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>tmp_s_fu_239_p2 ( icmp ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>5</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>0</count> <item_version>0</item_version> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>9</count> <item_version>0</item_version> <item> <first>ap_NS_fsm</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>4</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>4</second> </item> <item> <first>LUT</first> <second>21</second> </item> </second> </item> <item> <first>ap_done</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter1</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter2</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>i_1_i_phi_fu_214_p4</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>i_1_i_reg_210</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>indvar_flatten_reg_188</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>7</second> </item> <item> <first>(2Count)</first> <second>14</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>j_0_i_phi_fu_203_p4</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>j_0_i_reg_199</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> </dp_multiplexer_resource> <dp_register_resource> <count>14</count> <item_version>0</item_version> <item> <first>ap_CS_fsm</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>3</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>3</second> </item> </second> </item> <item> <first>ap_done_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter0</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_enable_reg_pp0_iter2</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_reg_pp0_iter1_tmp_3_mid2_v_reg_321</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>ap_reg_pp0_iter1_tmp_3_reg_328</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>3</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>3</second> </item> </second> </item> <item> <first>exitcond_flatten_reg_306</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>i_1_i_mid2_reg_315</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>i_1_i_reg_210</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>indvar_flatten_reg_188</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>7</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>7</second> </item> </second> </item> <item> <first>j_0_i_reg_199</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>tmp_3_mid2_v_reg_321</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>tmp_3_reg_328</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>3</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>3</second> </item> </second> </item> </dp_register_resource> <dp_component_map class_id="41" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_map> <dp_expression_map> <count>8</count> <item_version>0</item_version> <item class_id="42" tracking_level="0" version="0"> <first>exitcond_flatten_fu_221_p2 ( icmp ) </first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>i_1_i_mid2_fu_245_p3 ( select ) </first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>i_fu_290_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>indvar_flatten_next_fu_227_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>j_fu_233_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>tmp_3_mid2_v_fu_253_p3 ( select ) </first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_9_fu_279_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>tmp_s_fu_239_p2 ( icmp ) </first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_expression_map> <dp_fifo_map> <count>0</count> <item_version>0</item_version> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="43" tracking_level="0" version="0"> <count>48</count> <item_version>0</item_version> <item class_id="44" tracking_level="0" version="0"> <first>10</first> <second class_id="45" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>36</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="46" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="47" tracking_level="0" version="0"> <first>11</first> <second class_id="48" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>38</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>42</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>46</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>50</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>54</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>58</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>62</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>66</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>70</first> <second> <first>1</first> <second>3</second> </second> </item> <item> <first>74</first> <second> <first>2</first> <second>3</second> </second> </item> <item> <first>76</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="49" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="50" tracking_level="1" version="0" object_id="_286"> <region_name>Xpose_Row_Outer_Loop_Xpose_Row_Inner_Loop</region_name> <basic_blocks> <count>11</count> <item_version>0</item_version> <item>18</item> <item>38</item> <item>42</item> <item>46</item> <item>50</item> <item>54</item> <item>58</item> <item>62</item> <item>66</item> <item>70</item> <item>74</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>3</pipe_depth> </item> </regions> <dp_fu_nodes class_id="51" tracking_level="0" version="0"> <count>35</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>72</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>79</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>35</item> </second> </item> <item> <first>84</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>91</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>97</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>104</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>110</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>117</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>123</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>130</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>136</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>143</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>149</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>156</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>162</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>169</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>175</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>182</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first>192</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>203</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>214</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>221</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>227</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>233</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>239</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>245</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>253</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>261</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>265</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>268</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>275</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>279</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>285</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>290</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>295</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="54" tracking_level="0" version="0"> <count>26</count> <item_version>0</item_version> <item class_id="55" tracking_level="0" version="0"> <first>col_inbuf_0_addr_gep_fu_162</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>col_inbuf_1_addr_gep_fu_149</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>col_inbuf_2_addr_gep_fu_136</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>col_inbuf_3_addr_gep_fu_123</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>col_inbuf_4_addr_gep_fu_110</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>col_inbuf_5_addr_gep_fu_97</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>col_inbuf_6_addr_gep_fu_84</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>col_inbuf_7_addr_gep_fu_175</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>exitcond_flatten_fu_221</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>i_1_i_mid2_fu_245</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>i_1_i_phi_fu_214</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>i_fu_290</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>indvar_flatten_next_fu_227</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>indvar_flatten_phi_fu_192</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>j_0_i_phi_fu_203</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>j_fu_233</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>row_outbuf_i_addr_gep_fu_72</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>tmp_3_fu_261</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>tmp_3_mid2_cast_fu_265</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>tmp_3_mid2_fu_295</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>tmp_3_mid2_v_fu_253</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_8_cast_fu_275</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>tmp_9_cast_fu_285</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>tmp_9_fu_279</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>tmp_fu_268</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>tmp_s_fu_239</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="56" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="57" tracking_level="0" version="0"> <first class_id="58" tracking_level="0" version="0"> <first>col_inbuf_0</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first> <first>col_inbuf_1</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first> <first>col_inbuf_2</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first> <first>col_inbuf_3</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first> <first>col_inbuf_4</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first> <first>col_inbuf_5</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first> <first>col_inbuf_6</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first> <first>col_inbuf_7</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first> <first>row_outbuf_i</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>35</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>10</count> <item_version>0</item_version> <item> <first>188</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>199</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>210</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>306</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>310</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>315</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>321</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>328</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>332</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>337</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>10</count> <item_version>0</item_version> <item> <first>exitcond_flatten_reg_306</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>i_1_i_mid2_reg_315</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>i_1_i_reg_210</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>i_reg_337</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>indvar_flatten_next_reg_310</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>indvar_flatten_reg_188</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>j_0_i_reg_199</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>row_outbuf_i_addr_reg_332</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>tmp_3_mid2_v_reg_321</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_3_reg_328</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>3</count> <item_version>0</item_version> <item> <first>188</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>199</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>210</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>3</count> <item_version>0</item_version> <item> <first>i_1_i_reg_210</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>indvar_flatten_reg_188</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>j_0_i_reg_199</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="59" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="60" tracking_level="0" version="0"> <first>col_inbuf_0(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> </second> </item> <item> <first>col_inbuf_1(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> </second> </item> <item> <first>col_inbuf_2(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> </second> </item> <item> <first>col_inbuf_3(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> </second> </item> <item> <first>col_inbuf_4(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> </second> </item> <item> <first>col_inbuf_5(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> </second> </item> <item> <first>col_inbuf_6(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> </second> </item> <item> <first>col_inbuf_7(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> </second> </item> <item> <first>row_outbuf_i(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>35</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="61" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="62" tracking_level="0" version="0"> <first>1</first> <second>RAM</second> </item> <item> <first>2</first> <second>RAM</second> </item> <item> <first>3</first> <second>RAM</second> </item> <item> <first>4</first> <second>RAM</second> </item> <item> <first>5</first> <second>RAM</second> </item> <item> <first>6</first> <second>RAM</second> </item> <item> <first>7</first> <second>RAM</second> </item> <item> <first>8</first> <second>RAM</second> </item> <item> <first>9</first> <second>RAM</second> </item> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
Ada
206,288
adb
1
30.305274
86
0.428488
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S I N P U T . P -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2012, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Unchecked_Conversion; with Ada.Unchecked_Deallocation; with Prj.Err; with Sinput.C; with System; package body Sinput.P is First : Boolean := True; -- Flag used when Load_Project_File is called the first time, -- to set Main_Source_File. -- The flag is reset to False at the first call to Load_Project_File. -- Calling Reset_First sets it back to True. procedure Free is new Ada.Unchecked_Deallocation (Lines_Table_Type, Lines_Table_Ptr); procedure Free is new Ada.Unchecked_Deallocation (Logical_Lines_Table_Type, Logical_Lines_Table_Ptr); ----------------------------- -- Clear_Source_File_Table -- ----------------------------- procedure Clear_Source_File_Table is use System; begin for X in 1 .. Source_File.Last loop declare S : Source_File_Record renames Source_File.Table (X); Lo : constant Source_Ptr := S.Source_First; Hi : constant Source_Ptr := S.Source_Last; subtype Actual_Source_Buffer is Source_Buffer (Lo .. Hi); -- Physical buffer allocated type Actual_Source_Ptr is access Actual_Source_Buffer; -- This is the pointer type for the physical buffer allocated procedure Free is new Ada.Unchecked_Deallocation (Actual_Source_Buffer, Actual_Source_Ptr); pragma Suppress (All_Checks); pragma Warnings (Off); -- The following unchecked conversion is aliased safe, since it -- is not used to create improperly aliased pointer values. function To_Actual_Source_Ptr is new Ada.Unchecked_Conversion (Address, Actual_Source_Ptr); pragma Warnings (On); Actual_Ptr : Actual_Source_Ptr := To_Actual_Source_Ptr (S.Source_Text (Lo)'Address); begin Free (Actual_Ptr); Free (S.Lines_Table); Free (S.Logical_Lines_Table); end; end loop; Source_File.Free; Sinput.Initialize; end Clear_Source_File_Table; ----------------------- -- Load_Project_File -- ----------------------- function Load_Project_File (Path : String) return Source_File_Index is X : Source_File_Index; begin X := Sinput.C.Load_File (Path); if First then Main_Source_File := X; First := False; end if; return X; end Load_Project_File; ----------------- -- Reset_First -- ----------------- procedure Reset_First is begin First := True; end Reset_First; -------------------------------- -- Restore_Project_Scan_State -- -------------------------------- procedure Restore_Project_Scan_State (Saved_State : Saved_Project_Scan_State) is begin Restore_Scan_State (Saved_State.Scan_State); Source := Saved_State.Source; Current_Source_File := Saved_State.Current_Source_File; end Restore_Project_Scan_State; ----------------------------- -- Save_Project_Scan_State -- ----------------------------- procedure Save_Project_Scan_State (Saved_State : out Saved_Project_Scan_State) is begin Save_Scan_State (Saved_State.Scan_State); Saved_State.Source := Source; Saved_State.Current_Source_File := Current_Source_File; end Save_Project_Scan_State; ---------------------------- -- Source_File_Is_Subunit -- ---------------------------- function Source_File_Is_Subunit (X : Source_File_Index) return Boolean is begin -- Nothing to do if X is no source file, so simply return False if X = No_Source_File then return False; end if; Prj.Err.Scanner.Initialize_Scanner (X); -- No error for special characters that are used for preprocessing Prj.Err.Scanner.Set_Special_Character ('#'); Prj.Err.Scanner.Set_Special_Character ('$'); Check_For_BOM; -- We scan past junk to the first interesting compilation unit token, to -- see if it is SEPARATE. We ignore WITH keywords during this and also -- PRIVATE. The reason for ignoring PRIVATE is that it handles some -- error situations, and also to handle PRIVATE WITH in Ada 2005 mode. while Token = Tok_With or else Token = Tok_Private or else (Token not in Token_Class_Cunit and then Token /= Tok_EOF) loop Prj.Err.Scanner.Scan; end loop; Prj.Err.Scanner.Reset_Special_Characters; return Token = Tok_Separate; end Source_File_Is_Subunit; end Sinput.P;
Ada
6,539
adb
15
34.967914
79
0.539532
package BSSNBase.Text_IO is procedure write_results; procedure write_history; procedure write_summary; procedure write_summary_header; procedure write_summary_trailer; procedure create_text_io_lists; xy_index_list_ptr : GridIndexList_ptr := new GridIndexList (1..max_num_x*max_num_y); xz_index_list_ptr : GridIndexList_ptr := new GridIndexList (1..max_num_x*max_num_z); yz_index_list_ptr : GridIndexList_ptr := new GridIndexList (1..max_num_y*max_num_z); xy_index_list : GridIndexList renames xy_index_list_ptr.all; xz_index_list : GridIndexList renames xz_index_list_ptr.all; yz_index_list : GridIndexList renames yz_index_list_ptr.all; xy_index_num : Integer := 0; xz_index_num : Integer := 0; yz_index_num : Integer := 0; sample_point : GridPoint; -- the grid point used by write_history end BSSNBase.Text_IO;
Ada
896
ads
1
33.185185
87
0.752232
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2015-2017, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of STMicroelectronics nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- -- -- This file is based on: -- -- -- -- @file stm32f407xx.h et al. -- -- @author MCD Application Team -- -- @version V1.1.0 -- -- @date 19-June-2014 -- -- @brief CMSIS STM32F407xx Device Peripheral Access Layer Header File. -- -- -- -- COPYRIGHT(c) 2014 STMicroelectronics -- ------------------------------------------------------------------------------ -- This file provides register definitions for the STM32L4 (ARM Cortex M4F) -- microcontrollers from ST Microelectronics. with STM32.GPIO; use STM32.GPIO; package STM32.SYSCFG is procedure Connect_External_Interrupt (Port : GPIO_Port; Pin : GPIO_Pin) with Inline; procedure Connect_External_Interrupt (Point : GPIO_Point) with Inline; procedure Connect_External_Interrupt (Port : GPIO_Port; Pins : GPIO_Pins) with Inline; procedure Clear_External_Interrupt (Pin : GPIO_Pin) with Inline; end STM32.SYSCFG;
Ada
3,707
ads
2
60.770492
78
0.480712
-- This spec has been automatically generated from STM32L4x5.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package STM32_SVD.SAI is pragma Preelaborate; --------------- -- Registers -- --------------- subtype ACR1_MODE_Field is HAL.UInt2; subtype ACR1_PRTCFG_Field is HAL.UInt2; subtype ACR1_DS_Field is HAL.UInt3; subtype ACR1_SYNCEN_Field is HAL.UInt2; subtype ACR1_MCJDIV_Field is HAL.UInt4; -- AConfiguration register 1 type ACR1_Register is record -- Audio block mode MODE : ACR1_MODE_Field := 16#0#; -- Protocol configuration PRTCFG : ACR1_PRTCFG_Field := 16#0#; -- unspecified Reserved_4_4 : HAL.Bit := 16#0#; -- Data size DS : ACR1_DS_Field := 16#2#; -- Least significant bit first LSBFIRST : Boolean := False; -- Clock strobing edge CKSTR : Boolean := False; -- Synchronization enable SYNCEN : ACR1_SYNCEN_Field := 16#0#; -- Mono mode MONO : Boolean := False; -- Output drive OutDri : Boolean := False; -- unspecified Reserved_14_15 : HAL.UInt2 := 16#0#; -- Audio block A enable SAIAEN : Boolean := False; -- DMA enable DMAEN : Boolean := False; -- unspecified Reserved_18_18 : HAL.Bit := 16#0#; -- No divider NODIV : Boolean := False; -- Master clock divider MCJDIV : ACR1_MCJDIV_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ACR1_Register use record MODE at 0 range 0 .. 1; PRTCFG at 0 range 2 .. 3; Reserved_4_4 at 0 range 4 .. 4; DS at 0 range 5 .. 7; LSBFIRST at 0 range 8 .. 8; CKSTR at 0 range 9 .. 9; SYNCEN at 0 range 10 .. 11; MONO at 0 range 12 .. 12; OutDri at 0 range 13 .. 13; Reserved_14_15 at 0 range 14 .. 15; SAIAEN at 0 range 16 .. 16; DMAEN at 0 range 17 .. 17; Reserved_18_18 at 0 range 18 .. 18; NODIV at 0 range 19 .. 19; MCJDIV at 0 range 20 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; subtype ACR2_FTH_Field is HAL.UInt3; subtype ACR2_MUTECN_Field is HAL.UInt6; subtype ACR2_COMP_Field is HAL.UInt2; -- AConfiguration register 2 type ACR2_Register is record -- FIFO threshold FTH : ACR2_FTH_Field := 16#0#; -- FIFO flush FFLUS : Boolean := False; -- Tristate management on data line TRIS : Boolean := False; -- Mute MUTE : Boolean := False; -- Mute value MUTEVAL : Boolean := False; -- Mute counter MUTECN : ACR2_MUTECN_Field := 16#0#; -- Complement bit CPL : Boolean := False; -- Companding mode COMP : ACR2_COMP_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ACR2_Register use record FTH at 0 range 0 .. 2; FFLUS at 0 range 3 .. 3; TRIS at 0 range 4 .. 4; MUTE at 0 range 5 .. 5; MUTEVAL at 0 range 6 .. 6; MUTECN at 0 range 7 .. 12; CPL at 0 range 13 .. 13; COMP at 0 range 14 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype AFRCR_FRL_Field is HAL.UInt8; subtype AFRCR_FSALL_Field is HAL.UInt7; -- AFRCR type AFRCR_Register is record -- Frame length FRL : AFRCR_FRL_Field := 16#7#; -- Frame synchronization active level length FSALL : AFRCR_FSALL_Field := 16#0#; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- Frame synchronization definition FSDEF : Boolean := False; -- Frame synchronization polarity FSPOL : Boolean := False; -- Frame synchronization offset FSOFF : Boolean := False; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AFRCR_Register use record FRL at 0 range 0 .. 7; FSALL at 0 range 8 .. 14; Reserved_15_15 at 0 range 15 .. 15; FSDEF at 0 range 16 .. 16; FSPOL at 0 range 17 .. 17; FSOFF at 0 range 18 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; subtype ASLOTR_FBOFF_Field is HAL.UInt5; subtype ASLOTR_SLOTSZ_Field is HAL.UInt2; subtype ASLOTR_NBSLOT_Field is HAL.UInt4; subtype ASLOTR_SLOTEN_Field is HAL.UInt16; -- ASlot register type ASLOTR_Register is record -- First bit offset FBOFF : ASLOTR_FBOFF_Field := 16#0#; -- unspecified Reserved_5_5 : HAL.Bit := 16#0#; -- Slot size SLOTSZ : ASLOTR_SLOTSZ_Field := 16#0#; -- Number of slots in an audio frame NBSLOT : ASLOTR_NBSLOT_Field := 16#0#; -- unspecified Reserved_12_15 : HAL.UInt4 := 16#0#; -- Slot enable SLOTEN : ASLOTR_SLOTEN_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ASLOTR_Register use record FBOFF at 0 range 0 .. 4; Reserved_5_5 at 0 range 5 .. 5; SLOTSZ at 0 range 6 .. 7; NBSLOT at 0 range 8 .. 11; Reserved_12_15 at 0 range 12 .. 15; SLOTEN at 0 range 16 .. 31; end record; -- AInterrupt mask register2 type AIM_Register is record -- Overrun/underrun interrupt enable OVRUDRIE : Boolean := False; -- Mute detection interrupt enable MUTEDET : Boolean := False; -- Wrong clock configuration interrupt enable WCKCFG : Boolean := False; -- FIFO request interrupt enable FREQIE : Boolean := False; -- Codec not ready interrupt enable CNRDYIE : Boolean := False; -- Anticipated frame synchronization detection interrupt enable AFSDETIE : Boolean := False; -- Late frame synchronization detection interrupt enable LFSDET : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for AIM_Register use record OVRUDRIE at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; FREQIE at 0 range 3 .. 3; CNRDYIE at 0 range 4 .. 4; AFSDETIE at 0 range 5 .. 5; LFSDET at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; subtype ASR_FLVL_Field is HAL.UInt3; -- AStatus register type ASR_Register is record -- Overrun / underrun OVRUDR : Boolean := False; -- Mute detection MUTEDET : Boolean := False; -- Wrong clock configuration flag. This bit is read only WCKCFG : Boolean := False; -- FIFO request FREQ : Boolean := False; -- Codec not ready CNRDY : Boolean := False; -- Anticipated frame synchronization detection AFSDET : Boolean := False; -- Late frame synchronization detection LFSDET : Boolean := False; -- unspecified Reserved_7_15 : HAL.UInt9 := 16#0#; -- FIFO level threshold FLVL : ASR_FLVL_Field := 16#0#; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ASR_Register use record OVRUDR at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; FREQ at 0 range 3 .. 3; CNRDY at 0 range 4 .. 4; AFSDET at 0 range 5 .. 5; LFSDET at 0 range 6 .. 6; Reserved_7_15 at 0 range 7 .. 15; FLVL at 0 range 16 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; -- AClear flag register type ACLRFR_Register is record -- Clear overrun / underrun OVRUDR : Boolean := False; -- Mute detection flag MUTEDET : Boolean := False; -- Clear wrong clock configuration flag WCKCFG : Boolean := False; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- Clear codec not ready flag CNRDY : Boolean := False; -- Clear anticipated frame synchronization detection flag CAFSDET : Boolean := False; -- Clear late frame synchronization detection flag LFSDET : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ACLRFR_Register use record OVRUDR at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; Reserved_3_3 at 0 range 3 .. 3; CNRDY at 0 range 4 .. 4; CAFSDET at 0 range 5 .. 5; LFSDET at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; subtype BCR1_MODE_Field is HAL.UInt2; subtype BCR1_PRTCFG_Field is HAL.UInt2; subtype BCR1_DS_Field is HAL.UInt3; subtype BCR1_SYNCEN_Field is HAL.UInt2; subtype BCR1_MCJDIV_Field is HAL.UInt4; -- BConfiguration register 1 type BCR1_Register is record -- Audio block mode MODE : BCR1_MODE_Field := 16#0#; -- Protocol configuration PRTCFG : BCR1_PRTCFG_Field := 16#0#; -- unspecified Reserved_4_4 : HAL.Bit := 16#0#; -- Data size DS : BCR1_DS_Field := 16#2#; -- Least significant bit first LSBFIRST : Boolean := False; -- Clock strobing edge CKSTR : Boolean := False; -- Synchronization enable SYNCEN : BCR1_SYNCEN_Field := 16#0#; -- Mono mode MONO : Boolean := False; -- Output drive OutDri : Boolean := False; -- unspecified Reserved_14_15 : HAL.UInt2 := 16#0#; -- Audio block B enable SAIBEN : Boolean := False; -- DMA enable DMAEN : Boolean := False; -- unspecified Reserved_18_18 : HAL.Bit := 16#0#; -- No divider NODIV : Boolean := False; -- Master clock divider MCJDIV : BCR1_MCJDIV_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BCR1_Register use record MODE at 0 range 0 .. 1; PRTCFG at 0 range 2 .. 3; Reserved_4_4 at 0 range 4 .. 4; DS at 0 range 5 .. 7; LSBFIRST at 0 range 8 .. 8; CKSTR at 0 range 9 .. 9; SYNCEN at 0 range 10 .. 11; MONO at 0 range 12 .. 12; OutDri at 0 range 13 .. 13; Reserved_14_15 at 0 range 14 .. 15; SAIBEN at 0 range 16 .. 16; DMAEN at 0 range 17 .. 17; Reserved_18_18 at 0 range 18 .. 18; NODIV at 0 range 19 .. 19; MCJDIV at 0 range 20 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; subtype BCR2_FTH_Field is HAL.UInt3; subtype BCR2_MUTECN_Field is HAL.UInt6; subtype BCR2_COMP_Field is HAL.UInt2; -- BConfiguration register 2 type BCR2_Register is record -- FIFO threshold FTH : BCR2_FTH_Field := 16#0#; -- FIFO flush FFLUS : Boolean := False; -- Tristate management on data line TRIS : Boolean := False; -- Mute MUTE : Boolean := False; -- Mute value MUTEVAL : Boolean := False; -- Mute counter MUTECN : BCR2_MUTECN_Field := 16#0#; -- Complement bit CPL : Boolean := False; -- Companding mode COMP : BCR2_COMP_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BCR2_Register use record FTH at 0 range 0 .. 2; FFLUS at 0 range 3 .. 3; TRIS at 0 range 4 .. 4; MUTE at 0 range 5 .. 5; MUTEVAL at 0 range 6 .. 6; MUTECN at 0 range 7 .. 12; CPL at 0 range 13 .. 13; COMP at 0 range 14 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype BFRCR_FRL_Field is HAL.UInt8; subtype BFRCR_FSALL_Field is HAL.UInt7; -- BFRCR type BFRCR_Register is record -- Frame length FRL : BFRCR_FRL_Field := 16#7#; -- Frame synchronization active level length FSALL : BFRCR_FSALL_Field := 16#0#; -- unspecified Reserved_15_15 : HAL.Bit := 16#0#; -- Frame synchronization definition FSDEF : Boolean := False; -- Frame synchronization polarity FSPOL : Boolean := False; -- Frame synchronization offset FSOFF : Boolean := False; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BFRCR_Register use record FRL at 0 range 0 .. 7; FSALL at 0 range 8 .. 14; Reserved_15_15 at 0 range 15 .. 15; FSDEF at 0 range 16 .. 16; FSPOL at 0 range 17 .. 17; FSOFF at 0 range 18 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; subtype BSLOTR_FBOFF_Field is HAL.UInt5; subtype BSLOTR_SLOTSZ_Field is HAL.UInt2; subtype BSLOTR_NBSLOT_Field is HAL.UInt4; subtype BSLOTR_SLOTEN_Field is HAL.UInt16; -- BSlot register type BSLOTR_Register is record -- First bit offset FBOFF : BSLOTR_FBOFF_Field := 16#0#; -- unspecified Reserved_5_5 : HAL.Bit := 16#0#; -- Slot size SLOTSZ : BSLOTR_SLOTSZ_Field := 16#0#; -- Number of slots in an audio frame NBSLOT : BSLOTR_NBSLOT_Field := 16#0#; -- unspecified Reserved_12_15 : HAL.UInt4 := 16#0#; -- Slot enable SLOTEN : BSLOTR_SLOTEN_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BSLOTR_Register use record FBOFF at 0 range 0 .. 4; Reserved_5_5 at 0 range 5 .. 5; SLOTSZ at 0 range 6 .. 7; NBSLOT at 0 range 8 .. 11; Reserved_12_15 at 0 range 12 .. 15; SLOTEN at 0 range 16 .. 31; end record; -- BInterrupt mask register2 type BIM_Register is record -- Overrun/underrun interrupt enable OVRUDRIE : Boolean := False; -- Mute detection interrupt enable MUTEDET : Boolean := False; -- Wrong clock configuration interrupt enable WCKCFG : Boolean := False; -- FIFO request interrupt enable FREQIE : Boolean := False; -- Codec not ready interrupt enable CNRDYIE : Boolean := False; -- Anticipated frame synchronization detection interrupt enable AFSDETIE : Boolean := False; -- Late frame synchronization detection interrupt enable LFSDETIE : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BIM_Register use record OVRUDRIE at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; FREQIE at 0 range 3 .. 3; CNRDYIE at 0 range 4 .. 4; AFSDETIE at 0 range 5 .. 5; LFSDETIE at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; subtype BSR_FLVL_Field is HAL.UInt3; -- BStatus register type BSR_Register is record -- Read-only. Overrun / underrun OVRUDR : Boolean; -- Read-only. Mute detection MUTEDET : Boolean; -- Read-only. Wrong clock configuration flag WCKCFG : Boolean; -- Read-only. FIFO request FREQ : Boolean; -- Read-only. Codec not ready CNRDY : Boolean; -- Read-only. Anticipated frame synchronization detection AFSDET : Boolean; -- Read-only. Late frame synchronization detection LFSDET : Boolean; -- unspecified Reserved_7_15 : HAL.UInt9; -- Read-only. FIFO level threshold FLVL : BSR_FLVL_Field; -- unspecified Reserved_19_31 : HAL.UInt13; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BSR_Register use record OVRUDR at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; FREQ at 0 range 3 .. 3; CNRDY at 0 range 4 .. 4; AFSDET at 0 range 5 .. 5; LFSDET at 0 range 6 .. 6; Reserved_7_15 at 0 range 7 .. 15; FLVL at 0 range 16 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; -- BClear flag register type BCLRFR_Register is record -- Write-only. Clear overrun / underrun OVRUDR : Boolean := False; -- Write-only. Mute detection flag MUTEDET : Boolean := False; -- Write-only. Clear wrong clock configuration flag WCKCFG : Boolean := False; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- Write-only. Clear codec not ready flag CNRDY : Boolean := False; -- Write-only. Clear anticipated frame synchronization detection flag CAFSDET : Boolean := False; -- Write-only. Clear late frame synchronization detection flag LFSDET : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BCLRFR_Register use record OVRUDR at 0 range 0 .. 0; MUTEDET at 0 range 1 .. 1; WCKCFG at 0 range 2 .. 2; Reserved_3_3 at 0 range 3 .. 3; CNRDY at 0 range 4 .. 4; CAFSDET at 0 range 5 .. 5; LFSDET at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Serial audio interface type SAI_Peripheral is record -- AConfiguration register 1 ACR1 : aliased ACR1_Register; -- AConfiguration register 2 ACR2 : aliased ACR2_Register; -- AFRCR AFRCR : aliased AFRCR_Register; -- ASlot register ASLOTR : aliased ASLOTR_Register; -- AInterrupt mask register2 AIM : aliased AIM_Register; -- AStatus register ASR : aliased ASR_Register; -- AClear flag register ACLRFR : aliased ACLRFR_Register; -- AData register ADR : aliased HAL.UInt32; -- BConfiguration register 1 BCR1 : aliased BCR1_Register; -- BConfiguration register 2 BCR2 : aliased BCR2_Register; -- BFRCR BFRCR : aliased BFRCR_Register; -- BSlot register BSLOTR : aliased BSLOTR_Register; -- BInterrupt mask register2 BIM : aliased BIM_Register; -- BStatus register BSR : aliased BSR_Register; -- BClear flag register BCLRFR : aliased BCLRFR_Register; -- BData register BDR : aliased HAL.UInt32; end record with Volatile; for SAI_Peripheral use record ACR1 at 16#4# range 0 .. 31; ACR2 at 16#8# range 0 .. 31; AFRCR at 16#C# range 0 .. 31; ASLOTR at 16#10# range 0 .. 31; AIM at 16#14# range 0 .. 31; ASR at 16#18# range 0 .. 31; ACLRFR at 16#1C# range 0 .. 31; ADR at 16#20# range 0 .. 31; BCR1 at 16#24# range 0 .. 31; BCR2 at 16#28# range 0 .. 31; BFRCR at 16#2C# range 0 .. 31; BSLOTR at 16#30# range 0 .. 31; BIM at 16#34# range 0 .. 31; BSR at 16#38# range 0 .. 31; BCLRFR at 16#3C# range 0 .. 31; BDR at 16#40# range 0 .. 31; end record; -- Serial audio interface SAI1_Periph : aliased SAI_Peripheral with Import, Address => System'To_Address (16#40015400#); -- Serial audio interface SAI2_Periph : aliased SAI_Peripheral with Import, Address => System'To_Address (16#40015800#); end STM32_SVD.SAI;
Ada
21,661
ads
2
34.058176
76
0.553622
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>Loop_4_proc</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>hw_output_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>stream&amp;lt;AxiPackedStencil&amp;lt;unsigned char, 1, 1, 1, 1&amp;gt; &amp;gt;.V.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>hw_output_V_last_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>stream&amp;lt;AxiPackedStencil&amp;lt;unsigned char, 1, 1, 1, 1&amp;gt; &amp;gt;.V.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>p_mul_stencil_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>p_delayed_input_stencil_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>38</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_5"> <Value> <Obj> <type>0</type> <id>9</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>55</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>11</id> <name>indvar_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>147</item> <item>148</item> <item>149</item> <item>150</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>12</id> <name>p_hw_output_y_scan_1</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second class_id="12" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>151</item> <item>152</item> <item>153</item> <item>154</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>13</id> <name>p_hw_output_x_scan_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_hw_output_x___scan_dim_0</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>155</item> <item>156</item> <item>157</item> <item>158</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>14</id> <name>exitcond_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>159</item> <item>161</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>15</id> <name>indvar_flatten_next</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>162</item> <item>164</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>16</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>165</item> <item>166</item> <item>167</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>19</id> <name>exitcond7</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>56</item> <item>58</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>20</id> <name>p_hw_output_x_scan_s</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>59</item> <item>61</item> <item>62</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>21</id> <name>p_hw_output_y_scan_2</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>299</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>299</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>64</item> <item>65</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_3_mid1</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>334</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>334</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>66</item> <item>68</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>23</id> <name>tmp_1</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>334</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>334</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>69</item> <item>70</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>24</id> <name>tmp_3_mid2</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>334</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>334</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>71</item> <item>72</item> <item>73</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>25</id> <name>p_hw_output_y_scan_s</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>74</item> <item>75</item> <item>76</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>28</id> <name>tmp_value_V_4</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>307</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>307</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>78</item> <item>79</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>29</id> <name>tmp_value_V_5</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>312</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>312</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>80</item> <item>81</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>30</id> <name>p_471</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>318</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>318</second> </item> </second> </item> </inlineStackInfo> <originalName>_471</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>82</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>31</id> <name>p_s</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>84</item> <item>85</item> <item>87</item> <item>89</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>32</id> <name>p_474</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName>_474</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>90</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>33</id> <name>p_479</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName>_479</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>91</item> <item>92</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>34</id> <name>p_475</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>322</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>322</second> </item> </second> </item> </inlineStackInfo> <originalName>_475</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>93</item> <item>94</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>35</id> <name>tmp_12</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>325</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>325</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>96</item> <item>97</item> <item>98</item> <item>99</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>36</id> <name>p_478</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>325</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>325</second> </item> </second> </item> </inlineStackInfo> <originalName>_478</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>100</item> <item>102</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>37</id> <name>tmp_13</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>103</item> <item>104</item> <item>105</item> <item>106</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>38</id> <name>p_480</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName>_480</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>107</item> <item>108</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>39</id> <name>tmp</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>330</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>330</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>110</item> <item>111</item> <item>113</item> <item>114</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>40</id> <name>tmp_14</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>329</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>329</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>116</item> <item>117</item> <item>118</item> <item>120</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>41</id> <name>tmp_15</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>328</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>328</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>121</item> <item>122</item> <item>124</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>42</id> <name>tmp_5_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>329</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>329</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>125</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>43</id> <name>p_483</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>329</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>329</second> </item> </second> </item> </inlineStackInfo> <originalName>_483</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>126</item> <item>127</item> <item>128</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>44</id> <name>p_483_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>329</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>329</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>129</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>45</id> <name>p_484</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>331</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>331</second> </item> </second> </item> </inlineStackInfo> <originalName>_484</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>130</item> <item>131</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>46</id> <name>tmp_s</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>334</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>334</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>132</item> <item>134</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>47</id> <name>tmp_last_V</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>334</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>334</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>135</item> <item>136</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>48</id> <name></name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>339</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>339</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>138</item> <item>139</item> <item>140</item> <item>141</item> <item>142</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>50</id> <name>p_hw_output_x_scan_1</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName>_hw_output_x___scan_dim_0</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>143</item> <item>144</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>51</id> <name></name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</fileDirectory> <lineNumber>301</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/sharpen</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>301</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>145</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>53</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_43"> <Value> <Obj> <type>2</type> <id>57</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1918</content> </item> <item class_id_reference="16" object_id="_44"> <Value> <Obj> <type>2</type> <id>60</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_45"> <Value> <Obj> <type>2</type> <id>63</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_46"> <Value> <Obj> <type>2</type> <id>67</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1077</content> </item> <item class_id_reference="16" object_id="_47"> <Value> <Obj> <type>2</type> <id>86</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_48"> <Value> <Obj> <type>2</type> <id>88</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>7</content> </item> <item class_id_reference="16" object_id="_49"> <Value> <Obj> <type>2</type> <id>101</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_50"> <Value> <Obj> <type>2</type> <id>112</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_51"> <Value> <Obj> <type>2</type> <id>119</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_52"> <Value> <Obj> <type>2</type> <id>123</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_53"> <Value> <Obj> <type>2</type> <id>133</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1917</content> </item> <item class_id_reference="16" object_id="_54"> <Value> <Obj> <type>2</type> <id>146</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_55"> <Value> <Obj> <type>2</type> <id>160</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>2067604</content> </item> <item class_id_reference="16" object_id="_56"> <Value> <Obj> <type>2</type> <id>163</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_57"> <Obj> <type>3</type> <id>10</id> <name>newFuncRoot</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>9</item> </node_objs> </item> <item class_id_reference="18" object_id="_58"> <Obj> <type>3</type> <id>17</id> <name>.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> </node_objs> </item> <item class_id_reference="18" object_id="_59"> <Obj> <type>3</type> <id>52</id> <name>.preheader56</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>30</count> <item_version>0</item_version> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>50</item> <item>51</item> </node_objs> </item> <item class_id_reference="18" object_id="_60"> <Obj> <type>3</type> <id>54</id> <name>.exitStub</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>53</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>89</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_61"> <id>55</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>9</sink_obj> </item> <item class_id_reference="20" object_id="_62"> <id>56</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_63"> <id>58</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_64"> <id>59</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_65"> <id>61</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_66"> <id>62</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_67"> <id>64</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_68"> <id>65</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_69"> <id>66</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_70"> <id>68</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_71"> <id>69</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_72"> <id>70</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_73"> <id>71</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_74"> <id>72</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_75"> <id>73</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_76"> <id>74</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_77"> <id>75</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_78"> <id>76</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_79"> <id>79</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>28</sink_obj> </item> <item class_id_reference="20" object_id="_80"> <id>81</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>29</sink_obj> </item> <item class_id_reference="20" object_id="_81"> <id>82</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_82"> <id>85</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_83"> <id>87</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_84"> <id>89</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_85"> <id>90</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_86"> <id>91</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_87"> <id>92</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_88"> <id>93</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_89"> <id>94</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_90"> <id>97</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_91"> <id>98</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_92"> <id>99</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_93"> <id>100</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_94"> <id>102</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_95"> <id>104</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_96"> <id>105</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_97"> <id>106</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_98"> <id>107</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_99"> <id>108</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_100"> <id>111</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_101"> <id>113</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_102"> <id>114</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_103"> <id>117</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_104"> <id>118</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_105"> <id>120</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_106"> <id>121</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_107"> <id>122</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_108"> <id>124</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_109"> <id>125</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>42</sink_obj> </item> <item class_id_reference="20" object_id="_110"> <id>126</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_111"> <id>127</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_112"> <id>128</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_113"> <id>129</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>44</sink_obj> </item> <item class_id_reference="20" object_id="_114"> <id>130</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_115"> <id>131</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_116"> <id>132</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>46</sink_obj> </item> <item class_id_reference="20" object_id="_117"> <id>134</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>46</sink_obj> </item> <item class_id_reference="20" object_id="_118"> <id>135</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_119"> <id>136</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_120"> <id>139</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_121"> <id>140</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_122"> <id>141</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_123"> <id>142</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_124"> <id>143</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>50</sink_obj> </item> <item class_id_reference="20" object_id="_125"> <id>144</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>50</sink_obj> </item> <item class_id_reference="20" object_id="_126"> <id>145</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_127"> <id>147</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_128"> <id>148</id> <edge_type>2</edge_type> <source_obj>10</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_129"> <id>149</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_130"> <id>150</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_131"> <id>151</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_132"> <id>152</id> <edge_type>2</edge_type> <source_obj>10</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_133"> <id>153</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_134"> <id>154</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_135"> <id>155</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_136"> <id>156</id> <edge_type>2</edge_type> <source_obj>10</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_137"> <id>157</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_138"> <id>158</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_139"> <id>159</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_140"> <id>161</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_141"> <id>162</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_142"> <id>164</id> <edge_type>1</edge_type> <source_obj>163</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_143"> <id>165</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_144"> <id>166</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_145"> <id>167</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_146"> <id>254</id> <edge_type>2</edge_type> <source_obj>10</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_147"> <id>255</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>54</sink_obj> </item> <item class_id_reference="20" object_id="_148"> <id>256</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_149"> <id>257</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>17</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_150"> <mId>1</mId> <mTag>Loop_4_proc</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2067608</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_151"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>10</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_152"> <mId>3</mId> <mTag>Loop 1</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>17</item> <item>52</item> </basic_blocks> <mII>1</mII> <mDepth>4</mDepth> <mMinTripCount>2067604</mMinTripCount> <mMaxTripCount>2067604</mMaxTripCount> <mMinLatency>2067606</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_153"> <mId>4</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>54</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_154"> <states class_id="25" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_155"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_156"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_157"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_158"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_159"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_160"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_161"> <id>2</id> <operations> <count>9</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_162"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_163"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_164"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_165"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_166"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_167"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_168"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_169"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_170"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_171"> <id>3</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_172"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_173"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_174"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_175"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_176"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_177"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_178"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_179"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_180"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_181"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_182"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_183"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_184"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_185"> <id>4</id> <operations> <count>3</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_186"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_187"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_188"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_189"> <id>5</id> <operations> <count>15</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_190"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_191"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_192"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_193"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_194"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_195"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_196"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_197"> <id>42</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_198"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_199"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_200"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_201"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_202"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_203"> <id>49</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_204"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_205"> <id>6</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_206"> <id>53</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_207"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>30</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_208"> <inState>3</inState> <outState>4</outState> <condition> <id>40</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_209"> <inState>4</inState> <outState>5</outState> <condition> <id>41</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_210"> <inState>5</inState> <outState>2</outState> <condition> <id>42</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_211"> <inState>2</inState> <outState>6</outState> <condition> <id>39</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>14</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_212"> <inState>2</inState> <outState>3</outState> <condition> <id>43</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>14</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="-1"></res> <node_label_latency class_id="37" tracking_level="0" version="0"> <count>38</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>9</first> <second class_id="39" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="40" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="41" tracking_level="0" version="0"> <first>10</first> <second class_id="42" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>52</first> <second> <first>1</first> <second>4</second> </second> </item> <item> <first>54</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="43" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="44" tracking_level="1" version="0" object_id="_213"> <region_name>Loop 1</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>17</item> <item>52</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>4</pipe_depth> </item> </regions> <dp_fu_nodes class_id="45" tracking_level="0" version="0"> <count>34</count> <item_version>0</item_version> <item class_id="46" tracking_level="0" version="0"> <first>78</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>84</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> <item> <first>90</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>104</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>115</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>127</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>134</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>140</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>146</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>152</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>160</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>166</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>172</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>178</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>185</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>189</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>199</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>203</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>209</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>215</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>225</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>235</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>240</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>245</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>250</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>255</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>260</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>269</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>278</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>285</first> <second> <count>1</count> <item_version>0</item_version> <item>42</item> </second> </item> <item> <first>289</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>296</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>300</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>306</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="48" tracking_level="0" version="0"> <count>31</count> <item_version>0</item_version> <item class_id="49" tracking_level="0" version="0"> <first>exitcond7_fu_146</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>exitcond_flatten_fu_134</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>indvar_flatten_next_fu_140</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>indvar_flatten_phi_fu_104</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>p_471_fu_185</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>p_474_fu_199</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>p_475_fu_209</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>p_478_fu_245</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>p_479_fu_203</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>p_480_fu_250</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>p_483_cast_fu_296</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>p_483_fu_289</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>p_484_fu_300</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>p_hw_output_x_scan_1_fu_160</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>p_hw_output_x_scan_2_phi_fu_127</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>p_hw_output_x_scan_s_fu_152</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>p_hw_output_y_scan_1_phi_fu_115</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>p_hw_output_y_scan_2_fu_166</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>p_hw_output_y_scan_s_fu_178</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>p_s_fu_189</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>tmp_12_fu_215</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>tmp_13_fu_225</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>tmp_14_fu_269</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>tmp_15_fu_278</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>tmp_1_fu_172</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>tmp_3_mid1_fu_240</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>tmp_3_mid2_fu_255</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_5_cast_fu_285</first> <second> <count>1</count> <item_version>0</item_version> <item>42</item> </second> </item> <item> <first>tmp_fu_260</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>tmp_last_V_fu_306</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>tmp_s_fu_235</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>3</count> <item_version>0</item_version> <item> <first>StgValue_49_write_fu_90</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>tmp_value_V_4_read_fu_78</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>tmp_value_V_5_read_fu_84</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="50" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>19</count> <item_version>0</item_version> <item> <first>100</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>111</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>123</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>312</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>316</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>321</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>327</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>332</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>337</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>342</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>347</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>352</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>357</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>363</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>368</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>373</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>378</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>383</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>388</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>19</count> <item_version>0</item_version> <item> <first>exitcond7_reg_321</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>exitcond_flatten_reg_312</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>indvar_flatten_next_reg_316</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>indvar_flatten_reg_100</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>p_471_reg_352</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>p_475_reg_357</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>p_478_reg_383</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>p_480_reg_388</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>p_hw_output_x_scan_1_reg_332</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>p_hw_output_x_scan_2_reg_123</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>p_hw_output_x_scan_s_reg_327</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>p_hw_output_y_scan_1_reg_111</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>p_hw_output_y_scan_2_reg_337</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>p_hw_output_y_scan_s_reg_347</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>tmp_12_reg_363</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>tmp_13_reg_368</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>tmp_1_reg_342</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>tmp_3_mid1_reg_378</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>tmp_s_reg_373</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>3</count> <item_version>0</item_version> <item> <first>100</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>111</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>123</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>3</count> <item_version>0</item_version> <item> <first>indvar_flatten_reg_100</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>p_hw_output_x_scan_2_reg_123</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>p_hw_output_y_scan_1_reg_111</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="51" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>hw_output_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> </second> </item> <item> <first>hw_output_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> </second> </item> <item> <first>p_delayed_input_stencil_stream_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> </second> </item> <item> <first>p_mul_stencil_stream_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="53" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="54" tracking_level="0" version="0"> <first>3</first> <second>FIFO_SRL</second> </item> <item> <first>4</first> <second>FIFO_SRL</second> </item> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
Ada
115,031
adb
1
25.551088
136
0.596413
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>conv_2d_large_cl</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>data_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>data.V</originalName> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>14</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>1568</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>res_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>res.V</originalName> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>14</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>2704</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>37</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>5</id> <name>data_col_V</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>197</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>197</second> </item> </second> </item> </inlineStackInfo> <originalName>data_col.V</originalName> <rtlName>data_col_V_U</rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>63</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>6</id> <name>res_V_assign</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName>res_V_assign_U</rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>64</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>7</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>204</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>204</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>65</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>9</id> <name>i</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>66</item> <item>67</item> <item>69</item> <item>70</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>10</id> <name>phi_mul</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>71</item> <item>72</item> <item>74</item> <item>75</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>11</id> <name>next_mul</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName>next_mul_fu_153_p2</rtlName> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>76</item> <item>78</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.54</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>12</id> <name>tmp</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>204</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>204</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_fu_159_p2</rtlName> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>79</item> <item>81</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.30</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>14</id> <name>i_8</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>204</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>204</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName>i_8_fu_165_p2</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>82</item> <item>84</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>15</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>204</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>204</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>85</item> <item>86</item> <item>87</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>19</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>88</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>21</id> <name>j</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>j</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>89</item> <item>90</item> <item>91</item> <item>92</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_s</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_s_fu_171_p2</rtlName> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>93</item> <item>94</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.30</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>24</id> <name>j_1</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName>j</originalName> <rtlName>j_1_fu_177_p2</rtlName> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>95</item> <item>96</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>25</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>97</item> <item>98</item> <item>99</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>29</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>208</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>208</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>grp_im2col_2d_cl_fu_142</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>101</item> <item>102</item> <item>103</item> <item>104</item> <item>105</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>30</id> <name/> <fileName>firmware/nnet_utils/nnet_dense_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>276</lineNumber> <contextFuncName>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7_mult&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>209</second> </item> <item> <first> <first>firmware/nnet_utils/nnet_dense_large.h</first> <second>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7_mult&amp;gt;</second> </first> <second>276</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>grp_dense_large_rf_gt_ni_fu_132</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>107</item> <item>108</item> <item>109</item> <item>151</item> <item>152</item> <item>202</item> <item>203</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>31</id> <name>tmp_82</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_82_fu_183_p3</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>111</item> <item>112</item> <item>113</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_128_cast_cast</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_128_cast_cast_fu_191_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>114</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>33</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>115</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>35</id> <name>k</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>k</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>117</item> <item>118</item> <item>119</item> <item>120</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>36</id> <name>k_cast4_cast</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>k_cast4_cast_fu_195_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>121</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>37</id> <name>tmp_83</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_83_fu_199_p2</rtlName> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>122</item> <item>124</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.36</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>39</id> <name>k_1</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName>k_1_fu_205_p2</rtlName> <coreName/> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>125</item> <item>127</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.78</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>40</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>128</item> <item>129</item> <item>130</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>43</id> <name>tmp1</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp1_fu_211_p2</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>131</item> <item>132</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.91</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>44</id> <name>tmp1_cast</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp1_cast_fu_216_p1</rtlName> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>133</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>45</id> <name>tmp_84</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_84_fu_220_p2</rtlName> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>134</item> <item>135</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.54</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>46</id> <name>tmp_85</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_85_fu_231_p1</rtlName> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>136</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>47</id> <name>tmp_86</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_86_fu_226_p1</rtlName> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>137</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>48</id> <name>res_V_assign_addr</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>138</item> <item>140</item> <item>141</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>49</id> <name>res_V_assign_load</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>142</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.32</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>50</id> <name>res_V_addr</name> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>143</item> <item>144</item> <item>145</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>51</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>212</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>212</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>146</item> <item>147</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>52</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>211</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>211</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>148</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>55</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>149</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>58</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>204</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>204</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>150</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>60</id> <name/> <fileName>firmware/nnet_utils/nnet_conv2d_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>217</lineNumber> <contextFuncName>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_conv2d_large.h</first> <second>conv_2d_large_cl&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config7&amp;gt;</second> </first> <second>217</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_40"> <Value> <Obj> <type>2</type> <id>62</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_41"> <Value> <Obj> <type>2</type> <id>68</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_42"> <Value> <Obj> <type>2</type> <id>73</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_43"> <Value> <Obj> <type>2</type> <id>77</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>208</content> </item> <item class_id_reference="16" object_id="_44"> <Value> <Obj> <type>2</type> <id>80</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>13</content> </item> <item class_id_reference="16" object_id="_45"> <Value> <Obj> <type>2</type> <id>83</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_46"> <Value> <Obj> <type>2</type> <id>100</id> <name>im2col_2d_cl</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:im2col_2d_cl&gt;</content> </item> <item class_id_reference="16" object_id="_47"> <Value> <Obj> <type>2</type> <id>106</id> <name>dense_large_rf_gt_ni</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:dense_large_rf_gt_ni&gt;</content> </item> <item class_id_reference="16" object_id="_48"> <Value> <Obj> <type>2</type> <id>116</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>5</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_49"> <Value> <Obj> <type>2</type> <id>123</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>5</bitwidth> </Value> <const_type>0</const_type> <content>16</content> </item> <item class_id_reference="16" object_id="_50"> <Value> <Obj> <type>2</type> <id>126</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>5</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_51"> <Value> <Obj> <type>2</type> <id>139</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_52"> <Obj> <type>3</type> <id>8</id> <name>arrayctor.loop1.preheader</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>5</item> <item>6</item> <item>7</item> </node_objs> </item> <item class_id_reference="18" object_id="_53"> <Obj> <type>3</type> <id>16</id> <name>.preheader</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>9</item> <item>10</item> <item>11</item> <item>12</item> <item>14</item> <item>15</item> </node_objs> </item> <item class_id_reference="18" object_id="_54"> <Obj> <type>3</type> <id>20</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>19</item> </node_objs> </item> <item class_id_reference="18" object_id="_55"> <Obj> <type>3</type> <id>26</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>24</item> <item>25</item> </node_objs> </item> <item class_id_reference="18" object_id="_56"> <Obj> <type>3</type> <id>34</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> </node_objs> </item> <item class_id_reference="18" object_id="_57"> <Obj> <type>3</type> <id>41</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>35</item> <item>36</item> <item>37</item> <item>39</item> <item>40</item> </node_objs> </item> <item class_id_reference="18" object_id="_58"> <Obj> <type>3</type> <id>53</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>10</count> <item_version>0</item_version> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> </node_objs> </item> <item class_id_reference="18" object_id="_59"> <Obj> <type>3</type> <id>56</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>55</item> </node_objs> </item> <item class_id_reference="18" object_id="_60"> <Obj> <type>3</type> <id>59</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>58</item> </node_objs> </item> <item class_id_reference="18" object_id="_61"> <Obj> <type>3</type> <id>61</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>60</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>91</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_62"> <id>63</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_63"> <id>64</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>6</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_64"> <id>65</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_65"> <id>66</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>9</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_66"> <id>67</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>9</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_67"> <id>69</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_68"> <id>70</id> <edge_type>2</edge_type> <source_obj>8</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_69"> <id>71</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>10</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_70"> <id>72</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>10</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_71"> <id>74</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_72"> <id>75</id> <edge_type>2</edge_type> <source_obj>8</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_73"> <id>76</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_74"> <id>78</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_75"> <id>79</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_76"> <id>81</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_77"> <id>82</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_78"> <id>84</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_79"> <id>85</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_80"> <id>86</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_81"> <id>87</id> <edge_type>2</edge_type> <source_obj>61</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_82"> <id>88</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_83"> <id>89</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_84"> <id>90</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_85"> <id>91</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>21</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_86"> <id>92</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>21</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_87"> <id>93</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_88"> <id>94</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_89"> <id>95</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_90"> <id>96</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_91"> <id>97</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_92"> <id>98</id> <edge_type>2</edge_type> <source_obj>34</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_93"> <id>99</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_94"> <id>101</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_95"> <id>102</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_96"> <id>103</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_97"> <id>104</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_98"> <id>105</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_99"> <id>107</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_100"> <id>108</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_101"> <id>109</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_102"> <id>112</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_103"> <id>113</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_104"> <id>114</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_105"> <id>115</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_106"> <id>117</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_107"> <id>118</id> <edge_type>2</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_108"> <id>119</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>35</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_109"> <id>120</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>35</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_110"> <id>121</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_111"> <id>122</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_112"> <id>124</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_113"> <id>125</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_114"> <id>127</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_115"> <id>128</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_116"> <id>129</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_117"> <id>130</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_118"> <id>131</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>132</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>133</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>134</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>135</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>136</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>137</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>138</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>140</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>141</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>142</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>143</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>144</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>145</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>146</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>147</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>148</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>149</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>150</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>151</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>152</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>190</id> <edge_type>2</edge_type> <source_obj>8</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>191</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>192</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>193</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>194</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>195</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>196</id> <edge_type>2</edge_type> <source_obj>34</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>197</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>198</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>199</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>41</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>200</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>26</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>201</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>16</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>202</id> <edge_type>4</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>203</id> <edge_type>4</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_153"> <mId>1</mId> <mTag>conv_2d_large_cl</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>10</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>731628</mMinLatency> <mMaxLatency>1526604</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_154"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>8</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_155"> <mId>3</mId> <mTag>HeightLoop</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>4</item> <item>5</item> <item>9</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>13</mMinTripCount> <mMaxTripCount>13</mMaxTripCount> <mMinLatency>731627</mMinLatency> <mMaxLatency>1526603</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_156"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>16</item> <item>20</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_157"> <mId>5</mId> <mTag>WidthLoop</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>6</item> <item>7</item> <item>8</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>13</mMinTripCount> <mMaxTripCount>13</mMaxTripCount> <mMinLatency>56277</mMinLatency> <mMaxLatency>117429</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_158"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>26</item> <item>34</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>8983</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_159"> <mId>7</mId> <mTag>FiltLoop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>41</item> <item>53</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>16</mMinTripCount> <mMaxTripCount>16</mMaxTripCount> <mMinLatency>48</mMinLatency> <mMaxLatency>48</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_160"> <mId>8</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>56</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_161"> <mId>9</mId> <mTag>Region 4</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>59</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> <item class_id_reference="22" object_id="_162"> <mId>10</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>61</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_163"> <states class_id="25" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_164"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_165"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_166"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_167"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_168"> <id>2</id> <operations> <count>11</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_169"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_170"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_171"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_172"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_173"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_174"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_175"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_176"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_177"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_178"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_179"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_180"> <id>3</id> <operations> <count>8</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_181"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_182"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_183"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_184"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_185"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_186"> <id>29</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_187"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_188"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_189"> <id>4</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_190"> <id>29</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_191"> <id>5</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_192"> <id>30</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_193"> <id>6</id> <operations> <count>6</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_194"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_195"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_196"> <id>30</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_197"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_198"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_199"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_200"> <id>7</id> <operations> <count>14</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_201"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_202"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_203"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_204"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_205"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_206"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_207"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_208"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_209"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_210"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_211"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_212"> <id>49</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_213"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_214"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_215"> <id>8</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_216"> <id>49</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_217"> <id>9</id> <operations> <count>5</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_218"> <id>42</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_219"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_220"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_221"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_222"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>11</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_223"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>-1</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_224"> <inState>2</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>12</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_225"> <inState>3</inState> <outState>4</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>22</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_226"> <inState>4</inState> <outState>5</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_227"> <inState>5</inState> <outState>6</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_228"> <inState>6</inState> <outState>7</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_229"> <inState>7</inState> <outState>8</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>37</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_230"> <inState>8</inState> <outState>9</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_231"> <inState>9</inState> <outState>7</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_232"> <inState>7</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>37</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_233"> <inState>3</inState> <outState>2</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>22</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="36" tracking_level="1" version="0" object_id="_234"> <dp_component_resource class_id="37" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>grp_dense_large_rf_gt_ni_fu_132 (dense_large_rf_gt_ni)</first> <second class_id="39" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>BRAM</first> <second>1</second> </item> <item> <first>DSP48E</first> <second>1</second> </item> <item> <first>FF</first> <second>356</second> </item> <item> <first>LUT</first> <second>723</second> </item> </second> </item> <item> <first>grp_im2col_2d_cl_fu_142 (im2col_2d_cl)</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>FF</first> <second>124</second> </item> <item> <first>LUT</first> <second>352</second> </item> </second> </item> </dp_component_resource> <dp_expression_resource> <count>10</count> <item_version>0</item_version> <item> <first>ap_block_state1 ( or ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>i_8_fu_165_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>13</second> </item> </second> </item> <item> <first>j_1_fu_177_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>13</second> </item> </second> </item> <item> <first>k_1_fu_205_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>5</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>next_mul_fu_153_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>12</second> </item> <item> <first>(1P1)</first> <second>8</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>12</second> </item> </second> </item> <item> <first>tmp1_fu_211_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>9</second> </item> <item> <first>(1P1)</first> <second>9</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>tmp_83_fu_199_p2 ( icmp ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>5</second> </item> <item> <first>(1P1)</first> <second>6</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>11</second> </item> </second> </item> <item> <first>tmp_84_fu_220_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>12</second> </item> <item> <first>(1P1)</first> <second>12</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>12</second> </item> </second> </item> <item> <first>tmp_fu_159_p2 ( icmp ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>3</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>tmp_s_fu_171_p2 ( icmp ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>4</second> </item> <item> <first>(1P1)</first> <second>3</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>0</count> <item_version>0</item_version> </dp_fifo_resource> <dp_memory_resource> <count>2</count> <item_version>0</item_version> <item> <first>data_col_V_U</first> <second> <count>7</count> <item_version>0</item_version> <item> <first>(0Words)</first> <second>32</second> </item> <item> <first>(1Bits)</first> <second>14</second> </item> <item> <first>(2Banks)</first> <second>1</second> </item> <item> <first>(3W*Bits*Banks)</first> <second>448</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>28</second> </item> <item> <first>LUT</first> <second>7</second> </item> </second> </item> <item> <first>res_V_assign_U</first> <second> <count>7</count> <item_version>0</item_version> <item> <first>(0Words)</first> <second>16</second> </item> <item> <first>(1Bits)</first> <second>14</second> </item> <item> <first>(2Banks)</first> <second>1</second> </item> <item> <first>(3W*Bits*Banks)</first> <second>224</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>28</second> </item> <item> <first>LUT</first> <second>4</second> </item> </second> </item> </dp_memory_resource> <dp_multiplexer_resource> <count>12</count> <item_version>0</item_version> <item> <first>ap_NS_fsm</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>10</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>10</second> </item> <item> <first>LUT</first> <second>47</second> </item> </second> </item> <item> <first>ap_done</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>data_col_V_address0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>3</second> </item> <item> <first>(1Bits)</first> <second>5</second> </item> <item> <first>(2Count)</first> <second>15</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>data_col_V_ce0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>3</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>3</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>data_col_V_we0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>i_reg_85</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>j_reg_109</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>8</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>k_reg_121</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>5</second> </item> <item> <first>(2Count)</first> <second>10</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>phi_mul_reg_97</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>12</second> </item> <item> <first>(2Count)</first> <second>24</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>res_V_assign_address0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>3</second> </item> <item> <first>(1Bits)</first> <second>4</second> </item> <item> <first>(2Count)</first> <second>12</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>res_V_assign_ce0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>3</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>3</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>res_V_assign_we0</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> </dp_multiplexer_resource> <dp_register_resource> <count>15</count> <item_version>0</item_version> <item> <first>ap_CS_fsm</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>9</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>9</second> </item> </second> </item> <item> <first>ap_done_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>grp_dense_large_rf_gt_ni_fu_132_ap_start_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>grp_im2col_2d_cl_fu_142_ap_start_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>i_8_reg_243</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>i_reg_85</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>j_1_reg_251</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>j_reg_109</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>4</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>k_1_reg_264</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>5</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> </second> </item> <item> <first>k_reg_121</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>5</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> </second> </item> <item> <first>next_mul_reg_235</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>12</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>12</second> </item> </second> </item> <item> <first>phi_mul_reg_97</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>12</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>12</second> </item> </second> </item> <item> <first>res_V_assign_load_reg_279</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>14</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>14</second> </item> </second> </item> <item> <first>tmp_128_cast_cast_reg_256</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>9</second> </item> <item> <first>(Consts)</first> <second>5</second> </item> <item> <first>FF</first> <second>4</second> </item> </second> </item> <item> <first>tmp_84_reg_269</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>12</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>12</second> </item> </second> </item> </dp_register_resource> <dp_dsp_resource> <count>2</count> <item_version>0</item_version> <item> <first>grp_dense_large_rf_gt_ni_fu_132</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>grp_im2col_2d_cl_fu_142</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> </dp_dsp_resource> <dp_component_map class_id="41" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="42" tracking_level="0" version="0"> <first>grp_dense_large_rf_gt_ni_fu_132 (dense_large_rf_gt_ni)</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>grp_im2col_2d_cl_fu_142 (im2col_2d_cl)</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> </dp_component_map> <dp_expression_map> <count>9</count> <item_version>0</item_version> <item> <first>i_8_fu_165_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>j_1_fu_177_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>k_1_fu_205_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>next_mul_fu_153_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>tmp1_fu_211_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>tmp_83_fu_199_p2 ( icmp ) </first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>tmp_84_fu_220_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>tmp_fu_159_p2 ( icmp ) </first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>tmp_s_fu_171_p2 ( icmp ) </first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_expression_map> <dp_fifo_map> <count>0</count> <item_version>0</item_version> </dp_fifo_map> <dp_memory_map> <count>2</count> <item_version>0</item_version> <item> <first>data_col_V_U</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>res_V_assign_U</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> </dp_memory_map> </res> <node_label_latency class_id="43" tracking_level="0" version="0"> <count>37</count> <item_version>0</item_version> <item class_id="44" tracking_level="0" version="0"> <first>5</first> <second class_id="45" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>6</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>7</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>9</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>30</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>31</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>6</first> <second>1</second> </second> </item> <item> <first>50</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>1</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="46" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="47" tracking_level="0" version="0"> <first>8</first> <second class_id="48" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>26</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>34</first> <second> <first>2</first> <second>5</second> </second> </item> <item> <first>41</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>53</first> <second> <first>6</first> <second>8</second> </second> </item> <item> <first>56</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>59</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>61</first> <second> <first>1</first> <second>1</second> </second> </item> </bblk_ent_exit> <regions class_id="49" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="50" tracking_level="0" version="0"> <count>27</count> <item_version>0</item_version> <item class_id="51" tracking_level="0" version="0"> <first>52</first> <second> <count>1</count> <item_version>0</item_version> <item>5</item> </second> </item> <item> <first>56</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>60</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>66</first> <second> <count>2</count> <item_version>0</item_version> <item>49</item> <item>49</item> </second> </item> <item> <first>72</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>79</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>89</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>101</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>113</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>125</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>132</first> <second> <count>2</count> <item_version>0</item_version> <item>30</item> <item>30</item> </second> </item> <item> <first>142</first> <second> <count>2</count> <item_version>0</item_version> <item>29</item> <item>29</item> </second> </item> <item> <first>153</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>159</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>165</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>171</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>177</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>183</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>191</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>195</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>199</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>205</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>211</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>216</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>220</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>226</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>231</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="53" tracking_level="0" version="0"> <count>23</count> <item_version>0</item_version> <item class_id="54" tracking_level="0" version="0"> <first>data_col_V_alloca_fu_52</first> <second> <count>1</count> <item_version>0</item_version> <item>5</item> </second> </item> <item> <first>i_8_fu_165</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>i_phi_fu_89</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>j_1_fu_177</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>j_phi_fu_113</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>k_1_fu_205</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>k_cast4_cast_fu_195</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>k_phi_fu_125</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>next_mul_fu_153</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>phi_mul_phi_fu_101</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>res_V_addr_gep_fu_72</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>res_V_assign_addr_gep_fu_60</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>res_V_assign_alloca_fu_56</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>tmp1_cast_fu_216</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>tmp1_fu_211</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>tmp_128_cast_cast_fu_191</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>tmp_82_fu_183</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>tmp_83_fu_199</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>tmp_84_fu_220</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>tmp_85_fu_231</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>tmp_86_fu_226</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>tmp_fu_159</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>tmp_s_fu_171</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>2</count> <item_version>0</item_version> <item> <first>grp_dense_large_rf_gt_ni_fu_132</first> <second> <count>2</count> <item_version>0</item_version> <item>30</item> <item>30</item> </second> </item> <item> <first>grp_im2col_2d_cl_fu_142</first> <second> <count>2</count> <item_version>0</item_version> <item>29</item> <item>29</item> </second> </item> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="55" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="56" tracking_level="0" version="0"> <first class_id="57" tracking_level="0" version="0"> <first>outidx6</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first> <first>res_V</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first> <first>res_V_assign</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>49</item> <item>49</item> </second> </item> <item> <first> <first>res_V_assign</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first> <first>w7_V</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>12</count> <item_version>0</item_version> <item> <first>85</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>97</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>121</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>235</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>243</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>251</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>256</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>264</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>269</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>274</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>279</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>12</count> <item_version>0</item_version> <item> <first>i_8_reg_243</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>i_reg_85</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>j_1_reg_251</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>j_reg_109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>k_1_reg_264</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>k_reg_121</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>next_mul_reg_235</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>phi_mul_reg_97</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>res_V_assign_addr_reg_274</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>res_V_assign_load_reg_279</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>tmp_128_cast_cast_reg_256</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>tmp_84_reg_269</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>4</count> <item_version>0</item_version> <item> <first>85</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>97</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>121</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>4</count> <item_version>0</item_version> <item> <first>i_reg_85</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>j_reg_109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>k_reg_121</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>phi_mul_reg_97</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="58" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="59" tracking_level="0" version="0"> <first>res_V(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="60" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="61" tracking_level="0" version="0"> <first>1</first> <second>RAM</second> </item> <item> <first>2</first> <second>RAM</second> </item> </port2core> <node2core> <count>2</count> <item_version>0</item_version> <item> <first>5</first> <second>RAM</second> </item> <item> <first>6</first> <second>RAM</second> </item> </node2core> </syndb> </boost_serialization>
Ada
192,677
adb
null
32.236406
168
0.465079
-- The Cupcake GUI Toolkit -- (c) Kristian Klomsten Skordal 2012 <kristian.skordal@gmail.com> -- Report bugs and issues on <http://github.com/skordal/cupcake/issues> -- vim:ts=3:sw=3:et:si:sta package body Cupcake.Backends is -- Gets the backend class to be used: function Get_Backend return Backend_Access is begin return Active_Backend; end Get_Backend; -- Sets the backend to be used: procedure Set_Backend (Use_Backend : in Backend_Access) is begin Active_Backend := Use_Backend; end Set_Backend; end Cupcake.Backends;
Ada
570
adb
2
25.909091
72
0.717544
-- Copyright (c) 2019 Maxim Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT -- License-Filename: LICENSE ------------------------------------------------------------- with Ada.Characters.Latin_1; with Ada.Streams; with Ada.Strings.Fixed; with Ada.Text_IO; with GNAT.Sockets; with Coroutines.Polling; procedure CR_Test_Proc is function Get (URL : String) return Ada.Streams.Stream_Element_Array; -- Make HTTP GET request function Get (URL : String) return Ada.Streams.Stream_Element_Array is use type Ada.Streams.Stream_Element_Offset; Socket : GNAT.Sockets.Socket_Type; Slash : constant Natural := Ada.Strings.Fixed.Index (Source => URL, Pattern => "/", From => URL'First + 7); Host : constant String := URL (URL'First + 7 .. Slash - 1); Request : String := "GET " & URL (Slash .. URL'Last) & " HTTP/1.1" & Ada.Characters.Latin_1.CR & Ada.Characters.Latin_1.LF & "Host: " & Host & Ada.Characters.Latin_1.CR & Ada.Characters.Latin_1.LF & Ada.Characters.Latin_1.CR & Ada.Characters.Latin_1.LF; Data : Ada.Streams.Stream_Element_Array (1 .. Request'Length) with Import, Convention => Ada, Address => Request'Address; Last : Ada.Streams.Stream_Element_Offset; Address : GNAT.Sockets.Sock_Addr_Type; begin Address.Addr := GNAT.Sockets.Addresses (GNAT.Sockets.Get_Host_By_Name (Host), 1); Address.Port := 80; GNAT.Sockets.Create_Socket (Socket); GNAT.Sockets.Connect_Socket (Socket, Address); GNAT.Sockets.Send_Socket (Socket, Data, Last); pragma Assert (Last = Data'Last); -- Suspend current coroutine unti the socket has input. Coroutines.Yield (Coroutines.Polling.Watch (File => Coroutines.Polling.FD (GNAT.Sockets.To_C (Socket)), Events => (Coroutines.Polling.Input => True, others => False))); declare Output : Ada.Streams.Stream_Element_Array (1 .. 4096); begin GNAT.Sockets.Receive_Socket (Socket, Output, Last); -- Code := 200; Ada.Text_IO.Put_Line ("Last=" & (Last'Img)); return Output (1 .. Last); end; end Get; Response : Ada.Streams.Stream_Element_Array := Get ("http://www.google.com/"); Text : String (1 .. Response'Length) with Import, Convention => Ada, Address => Response'Address; begin Ada.Text_IO.Put_Line (Text); end CR_Test_Proc;
Ada
2,511
adb
3
33.48
76
0.62047
----------------------------------------------------------------------- -- mat-expressions-tests -- Unit tests for MAT expressions -- Copyright (C) 2014 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Tests; package MAT.Expressions.Tests is procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite); type Test is new Util.Tests.Test with null record; procedure Test_Parse_Expression (T : in out Test); end MAT.Expressions.Tests;
Ada
1,126
ads
7
37.533333
76
0.646536
-- SPDX-FileCopyrightText: 2020 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ---------------------------------------------------------------- with Ada.Streams; with Ada.Exceptions; with League.Stream_Element_Vectors; with League.Text_Codecs; with Spawn.Environments; with Spawn.Processes.Monitor_Loop; with Spawn.Processes; with Spawn.String_Vectors; package body Processes is function "+" (Text : Wide_Wide_String) return League.Strings.Universal_String renames League.Strings.To_Universal_String; --------- -- Run -- --------- procedure Run (Program : League.Strings.Universal_String; Arguments : League.String_Vectors.Universal_String_Vector; Directory : League.Strings.Universal_String; Env : Environment := No_Env; Output : out League.Strings.Universal_String; Errors : out League.Strings.Universal_String; Status : out Integer) is type Listener is new Spawn.Processes.Process_Listener with record Output : League.Stream_Element_Vectors.Stream_Element_Vector; Errors : League.Stream_Element_Vectors.Stream_Element_Vector; Status : Integer := 0; Done : Boolean := False; Write : Boolean := True; end record; procedure Standard_Output_Available (Self : in out Listener); procedure Standard_Error_Available (Self : in out Listener); procedure Finished (Self : in out Listener; Exit_Status : Spawn.Processes.Process_Exit_Status; Exit_Code : Spawn.Processes.Process_Exit_Code); procedure Error_Occurred (Self : in out Listener; Process_Error : Integer); procedure Exception_Occurred (Self : in out Listener; Occurrence : Ada.Exceptions.Exception_Occurrence); Process : Spawn.Processes.Process; Codec : constant League.Text_Codecs.Text_Codec := League.Text_Codecs.Codec_For_Application_Locale; ------------------------------- -- Standard_Output_Available -- ------------------------------- procedure Standard_Output_Available (Self : in out Listener) is use type Ada.Streams.Stream_Element_Count; Data : Ada.Streams.Stream_Element_Array (1 .. 512); Last : Ada.Streams.Stream_Element_Count; begin loop Process.Read_Standard_Output (Data, Last); exit when Last < Data'First; Self.Output.Append (Data (1 .. Last)); end loop; end Standard_Output_Available; ------------------------------ -- Standard_Error_Available -- ------------------------------ procedure Standard_Error_Available (Self : in out Listener) is use type Ada.Streams.Stream_Element_Count; Data : Ada.Streams.Stream_Element_Array (1 .. 512); Last : Ada.Streams.Stream_Element_Count; begin loop Process.Read_Standard_Error (Data, Last); exit when Last < Data'First; Self.Errors.Append (Data (1 .. Last)); end loop; end Standard_Error_Available; -------------- -- Finished -- -------------- procedure Finished (Self : in out Listener; Exit_Status : Spawn.Processes.Process_Exit_Status; Exit_Code : Spawn.Processes.Process_Exit_Code) is pragma Unreferenced (Exit_Status); begin Self.Status := Integer (Exit_Code); Self.Done := True; end Finished; -------------------- -- Error_Occurred -- -------------------- procedure Error_Occurred (Self : in out Listener; Process_Error : Integer) is pragma Unreferenced (Self); begin Errors.Append (+"Error_Occurred"); Self.Status := Process_Error; Self.Done := True; end Error_Occurred; procedure Exception_Occurred (Self : in out Listener; Occurrence : Ada.Exceptions.Exception_Occurrence) is begin Errors.Append (League.Strings.From_UTF_8_String (Ada.Exceptions.Exception_Information (Occurrence))); Self.Status := -1; Self.Done := True; end Exception_Occurred; Args : Spawn.String_Vectors.UTF_8_String_Vector; Feedback : aliased Listener; begin Process.Set_Program (Program.To_UTF_8_String); for J in 1 .. Arguments.Length loop Args.Append (Arguments (J).To_UTF_8_String); end loop; if Env /= No_Env then declare Environment : Spawn.Environments.Process_Environment := Process.Environment; begin for J in 1 .. Env.Names.Length loop Environment.Insert (Env.Names (J).To_UTF_8_String, Env.Values (J).To_UTF_8_String); end loop; Process.Set_Environment (Environment); end; end if; Process.Set_Arguments (Args); Process.Set_Working_Directory (Directory.To_UTF_8_String); Process.Set_Listener (Feedback'Unchecked_Access); Process.Start; while not Feedback.Done loop Spawn.Processes.Monitor_Loop (Timeout => 50); end loop; Output := Codec.Decode (Feedback.Output); Errors.Append (Codec.Decode (Feedback.Errors)); Status := Feedback.Status; end Run; end Processes;
Ada
5,480
adb
4
31.136364
71
0.598175
-- Copyright 2013-2021 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with System; package Pck is procedure Do_Nothing (A : System.Address); end Pck;
Ada
799
ads
1
38.047619
73
0.737171
generic n: Integer; package Data is ---Declaration of private types type Vector is private; type Matrix is private; --Calculation function 1 function Func1 (A, B, C: in Vector; MA, ME : in Matrix) return Integer; --Calculation function 2 function Func2 (MF, MG, MH, ML: in Matrix) return Integer; --Calculation function 3 function Func3 (A, B, C: in Vector; MB, MM : in Matrix) return Integer; --Filling matrix with ones procedure Matrix_Filling_Ones(A: out Matrix); --Filling vector with ones procedure Vector_Filling_Ones (A: out vector); --Determination private types private type Vector is array (1..n) of Integer; type Matrix is array (1..n) of Vector; end Data;
Ada
731
ads
null
23.580645
74
0.688098
-- part of AdaYaml, (c) 2017 Felix Krause -- released under the terms of the MIT license, see the file "copying.txt" with Yaml.Dom.Vectors; with Yaml.Events.Queue; with Yaml.Destination; package Yaml.Dom.Dumping is function To_Event_Queue (Document : Document_Reference) return Events.Queue.Reference; function To_Event_Queue (Documents : Vectors.Vector) return Events.Queue.Reference; procedure Dump (Document : Document_Reference; Output : not null Destination.Pointer); procedure Dump (Documents : Vectors.Vector; Output : not null Destination.Pointer); end Yaml.Dom.Dumping;
Ada
690
ads
32
36.315789
75
0.668116
-- Copyright (c) 2015-2019 Marcel Schneider -- for details see License.txt with Ada.Strings.Unbounded; use Ada.Strings.Unbounded; with Ada.Containers.Hashed_Maps; use Ada.Containers; with Tokens; use Tokens; package body Punctuation is procedure Initialize (O : in out Object) is begin -- Punctuators O.Punctuators.Insert(To_Unbounded_String ("("), Tokens.LeftBracket); O.Punctuators.Insert(To_Unbounded_String (")"), Tokens.RightBracket); O.Punctuators.Insert(To_Unbounded_String ("{"), Tokens.LeftBrace); O.Punctuators.Insert(To_Unbounded_String ("}"), Tokens.RightBrace); O.Punctuators.Insert(To_Unbounded_String ("["), Tokens.LeftSquareBracket); O.Punctuators.Insert(To_Unbounded_String ("]"), Tokens.RightSquareBracket); O.Punctuators.Insert(To_Unbounded_String (";"), Tokens.Semicolon); O.Punctuators.Insert(To_Unbounded_String (","), Tokens.Comma); O.Punctuators.Insert(To_Unbounded_String ("."), Tokens.Point); -- Operators O.Operators.Insert(To_Unbounded_String ("?"), Tokens.Question); O.Operators.Insert(To_Unbounded_String (":"), Tokens.Colon); O.Operators.Insert(To_Unbounded_String ("~"), Tokens.Tilde); O.Operators.Insert(To_Unbounded_String ("*"), Tokens.Asterisk); O.Operators.Insert(To_Unbounded_String ("*="), Tokens.AsteriskEqual); O.Operators.Insert(To_Unbounded_String ("%"), Tokens.Percent); O.Operators.Insert(To_Unbounded_String ("%="), Tokens.PercentEqual); O.Operators.Insert(To_Unbounded_String ("^"), Tokens.Carot); O.Operators.Insert(To_Unbounded_String ("^="), Tokens.CarotEqual); O.Operators.Insert(To_Unbounded_String ("/"), Tokens.Slash); O.Operators.Insert(To_Unbounded_String ("/="), Tokens.SlashEqual); O.Operators.Insert(To_Unbounded_String ("&"), Tokens.Ampersand); O.Operators.Insert(To_Unbounded_String ("&&"), Tokens.Ampersand2); O.Operators.Insert(To_Unbounded_String ("&&="), Tokens.AmpersandEqual); O.Operators.Insert(To_Unbounded_String ("|"), Tokens.Pipe); O.Operators.Insert(To_Unbounded_String ("||"), Tokens.Pipe2); O.Operators.Insert(To_Unbounded_String ("|="), Tokens.PipeEqual); O.Operators.Insert(To_Unbounded_String ("="), Tokens.Equal); O.Operators.Insert(To_Unbounded_String ("=="), Tokens.Equal2); O.Operators.Insert(To_Unbounded_String ("==="), Tokens.Equal3); O.Operators.Insert(To_Unbounded_String ("!"), Tokens.Exclamation); O.Operators.Insert(To_Unbounded_String ("!="), Tokens.ExclamationEqual); O.Operators.Insert(To_Unbounded_String ("!=="), Tokens.ExclamationEqual2); O.Operators.Insert(To_Unbounded_String ("+"), Tokens.Plus); O.Operators.Insert(To_Unbounded_String ("++"), Tokens.Plus2); O.Operators.Insert(To_Unbounded_String ("+="), Tokens.PlusEqual); O.Operators.Insert(To_Unbounded_String ("-"), Tokens.Minus); O.Operators.Insert(To_Unbounded_String ("--"), Tokens.Minus2); O.Operators.Insert(To_Unbounded_String ("-="), Tokens.MinusEqual); O.Operators.Insert(To_Unbounded_String ("<"), Tokens.LessThan); O.Operators.Insert(To_Unbounded_String ("<<"), Tokens.LessThan2); O.Operators.Insert(To_Unbounded_String ("<="), Tokens.LessThanEqual); O.Operators.Insert(To_Unbounded_String ("<<="), Tokens.LessThan2Equal); O.Operators.Insert(To_Unbounded_String (">"), Tokens.GreaterThan); O.Operators.Insert(To_Unbounded_String (">>"), Tokens.GreaterThan2); O.Operators.Insert(To_Unbounded_String (">="), Tokens.GreaterThanEqual); O.Operators.Insert(To_Unbounded_String (">>="), Tokens.GreaterThan2Equal); O.Operators.Insert(To_Unbounded_String (">>>"), Tokens.GreaterThan3); O.Operators.Insert(To_Unbounded_String (">>>="), Tokens.GreaterThan3Equal); -- reserved Keywords O.Keywords.Insert(To_Unbounded_String ("break"), Tokens.Break); O.Keywords.Insert(To_Unbounded_String ("case"), Tokens.Case_Tok); O.Keywords.Insert(To_Unbounded_String ("catch"), Tokens.Catch); O.Keywords.Insert(To_Unbounded_String ("continue"), Tokens.Continue); O.Keywords.Insert(To_Unbounded_String ("debugger"), Tokens.Debugger); O.Keywords.Insert(To_Unbounded_String ("default"), Tokens.Default); O.Keywords.Insert(To_Unbounded_String ("delete"), Tokens.Delete); O.Keywords.Insert(To_Unbounded_String ("do"), Tokens.Do_Tok); O.Keywords.Insert(To_Unbounded_String ("else"), Tokens.Else_Tok); O.Keywords.Insert(To_Unbounded_String ("finally"), Tokens.Finally); O.Keywords.Insert(To_Unbounded_String ("for"), Tokens.For_Tok); O.Keywords.Insert(To_Unbounded_String ("function"), Tokens.Function_Tok); O.Keywords.Insert(To_Unbounded_String ("if"), Tokens.If_Tok); O.Keywords.Insert(To_Unbounded_String ("in"), Tokens.In_Tok); O.Keywords.Insert(To_Unbounded_String ("instanceof"), Tokens.Instanceof); O.Keywords.Insert(To_Unbounded_String ("new"), Tokens.New_Tok); O.Keywords.Insert(To_Unbounded_String ("return"), Tokens.Return_Tok); O.Keywords.Insert(To_Unbounded_String ("switch"), Tokens.Switch); O.Keywords.Insert(To_Unbounded_String ("this"), Tokens.This); O.Keywords.Insert(To_Unbounded_String ("throw"), Tokens.Throw); O.Keywords.Insert(To_Unbounded_String ("try"), Tokens.Try); O.Keywords.Insert(To_Unbounded_String ("typeof"), Tokens.Typeof); O.Keywords.Insert(To_Unbounded_String ("var"), Tokens.Var); O.Keywords.Insert(To_Unbounded_String ("void"), Tokens.Void); O.Keywords.Insert(To_Unbounded_String ("while"), Tokens.While_Tok); O.Keywords.Insert(To_Unbounded_String ("with"), Tokens.With_Tok); O.Keywords.Insert(To_Unbounded_String ("true"), Tokens.True); O.Keywords.Insert(To_Unbounded_String ("false"), Tokens.False); O.Keywords.Insert(To_Unbounded_String ("null"), Tokens.Null_Tok); -- future reserved word O.FutureReservedWords.Insert(To_Unbounded_String("class"), Tokens.Class); O.FutureReservedWords.Insert(To_Unbounded_String("const"), Tokens.Const); O.FutureReservedWords.Insert(To_Unbounded_String("enum"), Tokens.Enum); O.FutureReservedWords.Insert(To_Unbounded_String("export"), Tokens.Export); O.FutureReservedWords.Insert(To_Unbounded_String("extends"), Tokens.Extends); O.FutureReservedWords.Insert(To_Unbounded_String("import"), Tokens.Import); O.FutureReservedWords.Insert(To_Unbounded_String("super"), Tokens.Super); O.FutureReservedWords.Insert(To_Unbounded_String("implements"), Tokens.Implements); O.FutureReservedWords.Insert(To_Unbounded_String("interface"), Tokens.InterfaceType); O.FutureReservedWords.Insert(To_Unbounded_String("let"), Tokens.Let); O.FutureReservedWords.Insert(To_Unbounded_String("package"), Tokens.PackageType); O.FutureReservedWords.Insert(To_Unbounded_String("private"), Tokens.PrivateScope); O.FutureReservedWords.Insert(To_Unbounded_String("protected"), Tokens.ProtectedScope); O.FutureReservedWords.Insert(To_Unbounded_String("public"), Tokens.Public); O.FutureReservedWords.Insert(To_Unbounded_String("static"), Tokens.Static); O.FutureReservedWords.Insert(To_Unbounded_String("yield"), Tokens.Yield); end Initialize; procedure Clear (O : in out Object) is begin O.Punctuators.Clear; O.Operators.Clear; O.Keywords.Clear; end Clear; end Punctuation;
Ada
7,477
adb
null
60.788618
92
0.71847
----------------------------------------------------------------------- -- awa-commands-stop -- Command to stop the web server -- Copyright (C) 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with GNAT.Command_Line; with AWA.Commands.Drivers; generic with package Command_Drivers is new AWA.Commands.Drivers (<>); package AWA.Commands.Stop is type Command_Type is new Command_Drivers.Command_Type with record Management_Port : aliased Integer := 0; end record; -- Setup the command before parsing the arguments and executing it. overriding procedure Setup (Command : in out Command_Type; Config : in out GNAT.Command_Line.Command_Line_Configuration; Context : in out Context_Type); -- Stop the server by sending a 'stop' command on the management socket. overriding procedure Execute (Command : in out Command_Type; Name : in String; Args : in Argument_List'Class; Context : in out Context_Type); Command : aliased Command_Type; end AWA.Commands.Stop;
Ada
1,798
ads
81
39.955556
82
0.631813
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>video_scale</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>src_data_stream_0_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>src.data_stream[0].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>src_data_stream_1_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>src.data_stream[1].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>src_data_stream_2_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>src.data_stream[2].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>dst_data_stream_0_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>dst.data_stream[0].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>dst_data_stream_1_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>dst.data_stream[1].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>dst_data_stream_2_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>dst.data_stream[2].V</originalName> <rtlName></rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>79</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_7"> <Value> <Obj> <type>0</type> <id>13</id> <name>sums_val_0</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>309</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>C:\Users\byronxu\Documents\6.S193</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>309</second> </item> </second> </item> </inlineStackInfo> <originalName>sums.val[0]</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>124</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>14</id> <name>sums_val_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>309</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>309</second> </item> </second> </item> </inlineStackInfo> <originalName>sums.val[1]</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>125</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>15</id> <name>sums_val_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>309</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>309</second> </item> </second> </item> </inlineStackInfo> <originalName>sums.val[2]</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>126</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>16</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>320</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>320</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>127</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>18</id> <name>t_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>c.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>128</item> <item>129</item> <item>131</item> <item>132</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>19</id> <name>tmp_4</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>320</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>320</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>133</item> <item>135</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.42</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>21</id> <name>c_V</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>320</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>320</second> </item> </second> </item> </inlineStackInfo> <originalName>c.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>136</item> <item>138</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>22</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>320</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>320</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>139</item> <item>140</item> <item>141</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>25</id> <name>tmp_5</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>147</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>26</id> <name>sums_val_0_addr</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>148</item> <item>150</item> <item>151</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>27</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>153</item> <item>154</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>28</id> <name>sums_val_1_addr</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>155</item> <item>156</item> <item>157</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>29</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>158</item> <item>159</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>30</id> <name>sums_val_2_addr</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>160</item> <item>161</item> <item>162</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>31</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>321</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>321</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>163</item> <item>164</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>32</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>320</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>320</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>165</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>34</id> <name>current_sum_val_2_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>143</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>35</id> <name>current_sum_val_1_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>144</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>36</id> <name>current_sum_val_0_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>145</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>37</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>146</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>39</id> <name>t_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>166</item> <item>167</item> <item>169</item> <item>170</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>40</id> <name>tmp</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>171</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>41</id> <name>exitcond1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>172</item> <item>174</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.77</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>43</id> <name>r_V</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>176</item> <item>177</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>44</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>178</item> <item>179</item> <item>180</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>48</id> <name>tmp_8</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>368</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>368</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>181</item> <item>183</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.36</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>49</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>184</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>51</id> <name>t_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>c.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>186</item> <item>187</item> <item>188</item> <item>189</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>52</id> <name>tmp_16</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>190</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>53</id> <name>exitcond</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>191</item> <item>193</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.88</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>55</id> <name>c_V_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName>c.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>195</item> <item>196</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.63</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>56</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>197</item> <item>198</item> <item>199</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>58</id> <name>current_sum_val_2_3_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>200</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>59</id> <name>current_sum_val_1_3_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>201</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>60</id> <name>current_sum_val_0_3_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>202</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>64</id> <name>r_V_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>340</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>340</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>204</item> <item>205</item> <item>207</item> <item>209</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>65</id> <name>tmp_6</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>345</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>345</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>210</item> <item>212</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.36</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>66</id> <name>tmp_9</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>213</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>67</id> <name>sums_val_0_addr_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>214</item> <item>215</item> <item>216</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>68</id> <name>current_sum_val_0</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>217</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>69</id> <name>sums_val_1_addr_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>218</item> <item>219</item> <item>220</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>70</id> <name>current_sum_val_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>221</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>71</id> <name>sums_val_2_addr_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>222</item> <item>223</item> <item>224</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>72</id> <name>current_sum_val_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>346</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>346</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>225</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>73</id> <name>current_sum_val_0_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>345</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>345</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>226</item> <item>227</item> <item>228</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>74</id> <name>current_sum_val_1_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>345</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>345</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>229</item> <item>230</item> <item>231</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>75</id> <name>current_sum_val_2_2</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>345</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>345</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>232</item> <item>233</item> <item>234</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>78</id> <name>tmp_20</name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>679</lineNumber> <contextFuncName>read</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;gt;&amp;gt;</second> </first> <second>711</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>read</second> </first> <second>679</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>357</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>236</item> <item>237</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>79</id> <name>tmp_21</name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>679</lineNumber> <contextFuncName>read</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;gt;&amp;gt;</second> </first> <second>711</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>read</second> </first> <second>679</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>357</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>238</item> <item>239</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>80</id> <name>tmp_19</name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>679</lineNumber> <contextFuncName>read</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;gt;&amp;gt;</second> </first> <second>711</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>read</second> </first> <second>679</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>357</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>240</item> <item>241</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>82</id> <name>current_sum_val_0_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>242</item> <item>243</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>83</id> <name>current_sum_val_1_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>244</item> <item>245</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>84</id> <name>current_sum_val_2_1</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName>current_sum.val[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>246</item> <item>247</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>85</id> <name>tmp_3</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>365</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>365</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>248</item> <item>249</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.36</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>86</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>365</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>365</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>250</item> <item>251</item> <item>252</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>88</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>368</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>368</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>253</item> <item>254</item> <item>255</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>90</id> <name>tmp_7</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>22</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>257</item> <item>258</item> <item>259</item> <item>261</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>62</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>91</id> <name>tmp_10</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>262</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>63</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>92</id> <name>tmp_11</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>22</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>263</item> <item>264</item> <item>265</item> <item>266</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>64</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>93</id> <name>tmp_12</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>267</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>65</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>94</id> <name>tmp_13</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>22</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>268</item> <item>269</item> <item>270</item> <item>271</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>66</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>95</id> <name>tmp_14</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>371</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>371</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>272</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>67</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>98</id> <name></name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>375</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>274</item> <item>275</item> <item>276</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>68</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>99</id> <name></name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>375</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>277</item> <item>278</item> <item>279</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>69</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>100</id> <name></name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>375</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>280</item> <item>281</item> <item>282</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>70</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>102</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>382</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>382</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>283</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>104</id> <name>storemerge2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>284</item> <item>285</item> <item>286</item> <item>287</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>71</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>105</id> <name>storemerge1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>288</item> <item>289</item> <item>290</item> <item>291</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>72</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>106</id> <name>storemerge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>current_sum.val[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>292</item> <item>293</item> <item>294</item> <item>295</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>73</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>107</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>378</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>378</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>296</item> <item>297</item> <item>500</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>74</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>108</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>384</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>384</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>298</item> <item>299</item> <item>501</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>75</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>109</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>378</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>378</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>300</item> <item>301</item> <item>502</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>76</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>110</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>389</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>389</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>302</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>77</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>113</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>303</item> <item>304</item> <item>505</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>114</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>305</item> <item>306</item> <item>504</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>60</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>115</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>360</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>360</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>307</item> <item>308</item> <item>503</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>61</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>116</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>327</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>309</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>78</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>119</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>326</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>326</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>310</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>79</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>121</id> <name></name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>392</lineNumber> <contextFuncName>video_scale</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>video_scale</second> </first> <second>392</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>18</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_86"> <Value> <Obj> <type>2</type> <id>123</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_87"> <Value> <Obj> <type>2</type> <id>130</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_88"> <Value> <Obj> <type>2</type> <id>134</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>60</content> </item> <item class_id_reference="16" object_id="_89"> <Value> <Obj> <type>2</type> <id>137</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_90"> <Value> <Obj> <type>2</type> <id>142</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_91"> <Value> <Obj> <type>2</type> <id>149</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_92"> <Value> <Obj> <type>2</type> <id>152</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_93"> <Value> <Obj> <type>2</type> <id>168</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_94"> <Value> <Obj> <type>2</type> <id>173</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>960</content> </item> <item class_id_reference="16" object_id="_95"> <Value> <Obj> <type>2</type> <id>175</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_96"> <Value> <Obj> <type>2</type> <id>182</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <const_type>0</const_type> <content>31</content> </item> <item class_id_reference="16" object_id="_97"> <Value> <Obj> <type>2</type> <id>185</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_98"> <Value> <Obj> <type>2</type> <id>192</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1920</content> </item> <item class_id_reference="16" object_id="_99"> <Value> <Obj> <type>2</type> <id>194</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_100"> <Value> <Obj> <type>2</type> <id>206</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>5</content> </item> <item class_id_reference="16" object_id="_101"> <Value> <Obj> <type>2</type> <id>208</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>10</content> </item> <item class_id_reference="16" object_id="_102"> <Value> <Obj> <type>2</type> <id>211</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_103"> <Value> <Obj> <type>2</type> <id>260</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>31</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_104"> <Obj> <type>3</type> <id>17</id> <name>.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>13</item> <item>14</item> <item>15</item> <item>16</item> </node_objs> </item> <item class_id_reference="18" object_id="_105"> <Obj> <type>3</type> <id>23</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>18</item> <item>19</item> <item>21</item> <item>22</item> </node_objs> </item> <item class_id_reference="18" object_id="_106"> <Obj> <type>3</type> <id>33</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> </node_objs> </item> <item class_id_reference="18" object_id="_107"> <Obj> <type>3</type> <id>38</id> <name>.preheader379.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>34</item> <item>35</item> <item>36</item> <item>37</item> </node_objs> </item> <item class_id_reference="18" object_id="_108"> <Obj> <type>3</type> <id>45</id> <name>.preheader379</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>39</item> <item>40</item> <item>41</item> <item>43</item> <item>44</item> </node_objs> </item> <item class_id_reference="18" object_id="_109"> <Obj> <type>3</type> <id>50</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>48</item> <item>49</item> </node_objs> </item> <item class_id_reference="18" object_id="_110"> <Obj> <type>3</type> <id>57</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>53</item> <item>55</item> <item>56</item> </node_objs> </item> <item class_id_reference="18" object_id="_111"> <Obj> <type>3</type> <id>87</id> <name>_ZrsILi32ELb0EEN11ap_int_baseIXT_EXT0_EE5RTypeIXT_EXT0_EE4arg1ERKS1_i.exit_ifconv</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>23</count> <item_version>0</item_version> <item>58</item> <item>59</item> <item>60</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>78</item> <item>79</item> <item>80</item> <item>82</item> <item>83</item> <item>84</item> <item>85</item> <item>86</item> </node_objs> </item> <item class_id_reference="18" object_id="_112"> <Obj> <type>3</type> <id>89</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>88</item> </node_objs> </item> <item class_id_reference="18" object_id="_113"> <Obj> <type>3</type> <id>103</id> <name>.preheader.0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>10</count> <item_version>0</item_version> <item>90</item> <item>91</item> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>98</item> <item>99</item> <item>100</item> <item>102</item> </node_objs> </item> <item class_id_reference="18" object_id="_114"> <Obj> <type>3</type> <id>111</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>7</count> <item_version>0</item_version> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> </node_objs> </item> <item class_id_reference="18" object_id="_115"> <Obj> <type>3</type> <id>117</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>113</item> <item>114</item> <item>115</item> <item>116</item> </node_objs> </item> <item class_id_reference="18" object_id="_116"> <Obj> <type>3</type> <id>120</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>119</item> </node_objs> </item> <item class_id_reference="18" object_id="_117"> <Obj> <type>3</type> <id>122</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>121</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>180</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_118"> <id>124</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>125</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>126</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>127</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>128</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>18</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>129</id> <edge_type>2</edge_type> <source_obj>33</source_obj> <sink_obj>18</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>131</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>132</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>133</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>135</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>136</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>138</id> <edge_type>1</edge_type> <source_obj>137</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>139</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>140</id> <edge_type>2</edge_type> <source_obj>33</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>141</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>143</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>144</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>145</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>146</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>147</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>148</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>150</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>151</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>153</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>154</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>155</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>156</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>157</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>158</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>159</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>160</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>161</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>162</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>163</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>164</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>165</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>166</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>39</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>167</id> <edge_type>2</edge_type> <source_obj>120</source_obj> <sink_obj>39</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>169</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>170</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>171</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>172</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>174</id> <edge_type>1</edge_type> <source_obj>173</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>176</id> <edge_type>1</edge_type> <source_obj>175</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>177</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>178</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>179</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>180</id> <edge_type>2</edge_type> <source_obj>122</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>181</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>183</id> <edge_type>1</edge_type> <source_obj>182</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>184</id> <edge_type>2</edge_type> <source_obj>57</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>186</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>187</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>188</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>51</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>189</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>51</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>190</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>191</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>193</id> <edge_type>1</edge_type> <source_obj>192</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>195</id> <edge_type>1</edge_type> <source_obj>194</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>196</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>197</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>198</id> <edge_type>2</edge_type> <source_obj>87</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>199</id> <edge_type>2</edge_type> <source_obj>120</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>200</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>201</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>202</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>205</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>207</id> <edge_type>1</edge_type> <source_obj>206</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>209</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>210</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>212</id> <edge_type>1</edge_type> <source_obj>211</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>213</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>214</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>215</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>216</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>217</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>218</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>219</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>220</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>221</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>222</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>223</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>224</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>225</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>226</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>227</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>228</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>229</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>230</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>231</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>232</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>233</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>234</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>237</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>239</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>241</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>242</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>243</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>244</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>245</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>246</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>247</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>248</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>249</id> <edge_type>1</edge_type> <source_obj>182</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>250</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>251</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>252</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>253</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>254</id> <edge_type>2</edge_type> <source_obj>111</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>255</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>258</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>259</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>261</id> <edge_type>1</edge_type> <source_obj>260</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>262</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>264</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>265</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>266</id> <edge_type>1</edge_type> <source_obj>260</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>267</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>269</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>270</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>271</id> <edge_type>1</edge_type> <source_obj>260</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>272</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>275</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>276</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>278</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>279</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>281</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>282</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>283</id> <edge_type>2</edge_type> <source_obj>111</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>284</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>285</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>286</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>287</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>288</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>289</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>290</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>291</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>292</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>293</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>294</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>295</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>296</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>297</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>298</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>299</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>300</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>301</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>302</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>303</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>304</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>305</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>306</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>307</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>308</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>309</id> <edge_type>2</edge_type> <source_obj>57</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>310</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>482</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>483</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>484</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>485</id> <edge_type>2</edge_type> <source_obj>33</source_obj> <sink_obj>23</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>486</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>487</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>488</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>489</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>490</id> <edge_type>2</edge_type> <source_obj>57</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>491</id> <edge_type>2</edge_type> <source_obj>57</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>492</id> <edge_type>2</edge_type> <source_obj>87</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>493</id> <edge_type>2</edge_type> <source_obj>87</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>494</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>495</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>496</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>497</id> <edge_type>2</edge_type> <source_obj>111</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>498</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>57</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>499</id> <edge_type>2</edge_type> <source_obj>120</source_obj> <sink_obj>45</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>500</id> <edge_type>4</edge_type> <source_obj>68</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>501</id> <edge_type>4</edge_type> <source_obj>70</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>502</id> <edge_type>4</edge_type> <source_obj>72</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>503</id> <edge_type>4</edge_type> <source_obj>58</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>504</id> <edge_type>4</edge_type> <source_obj>59</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>505</id> <edge_type>4</edge_type> <source_obj>60</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_298"> <mId>1</mId> <mTag>video_scale</mTag> <mType>0</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> <item>5</item> <item>9</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>1848062</mMinLatency> <mMaxLatency>1848062</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_299"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>17</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_300"> <mId>3</mId> <mTag>loop_channels_init_zero</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>23</item> <item>33</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>60</mMinTripCount> <mMaxTripCount>60</mMaxTripCount> <mMinLatency>60</mMinLatency> <mMaxLatency>60</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_301"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>38</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_302"> <mId>5</mId> <mTag>loop_height</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>6</item> <item>7</item> <item>8</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>960</mMinTripCount> <mMaxTripCount>960</mMaxTripCount> <mMinLatency>1848000</mMinLatency> <mMaxLatency>1848000</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_303"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>45</item> <item>50</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_304"> <mId>7</mId> <mTag>loop_width</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>6</count> <item_version>0</item_version> <item>57</item> <item>87</item> <item>89</item> <item>103</item> <item>111</item> <item>117</item> </basic_blocks> <mII>1</mII> <mDepth>4</mDepth> <mMinTripCount>1920</mMinTripCount> <mMaxTripCount>1920</mMaxTripCount> <mMinLatency>1922</mMinLatency> <mMaxLatency>1922</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_305"> <mId>8</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>120</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_306"> <mId>9</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>122</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_307"> <states class_id="25" tracking_level="0" version="0"> <count>8</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_308"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_309"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_310"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_311"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_312"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_313"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_314"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_315"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_316"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_317"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_318"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_319"> <id>2</id> <operations> <count>18</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_320"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_321"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_322"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_323"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_324"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_325"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_326"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_327"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_328"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_329"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_330"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_331"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_332"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_333"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_334"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_335"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_336"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_337"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_338"> <id>3</id> <operations> <count>11</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_339"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_340"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_341"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_342"> <id>42</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_343"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_344"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_345"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_346"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_347"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_348"> <id>49</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_349"> <id>121</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_350"> <id>4</id> <operations> <count>17</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_351"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_352"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_353"> <id>53</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_354"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_355"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_356"> <id>56</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_357"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_358"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_359"> <id>66</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_360"> <id>67</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_361"> <id>68</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_362"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_363"> <id>70</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_364"> <id>71</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_365"> <id>72</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_366"> <id>85</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_367"> <id>86</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_368"> <id>5</id> <operations> <count>9</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_369"> <id>68</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_370"> <id>70</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_371"> <id>72</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_372"> <id>76</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_373"> <id>77</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_374"> <id>78</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_375"> <id>79</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_376"> <id>80</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_377"> <id>81</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_378"> <id>6</id> <operations> <count>14</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_379"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_380"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_381"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_382"> <id>73</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_383"> <id>74</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_384"> <id>75</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_385"> <id>82</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_386"> <id>83</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_387"> <id>84</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_388"> <id>88</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_389"> <id>102</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_390"> <id>113</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_391"> <id>114</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_392"> <id>115</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_393"> <id>7</id> <operations> <count>24</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_394"> <id>61</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_395"> <id>62</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_396"> <id>63</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_397"> <id>90</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_398"> <id>91</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_399"> <id>92</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_400"> <id>93</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_401"> <id>94</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_402"> <id>95</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_403"> <id>96</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_404"> <id>97</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_405"> <id>98</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_406"> <id>99</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_407"> <id>100</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_408"> <id>101</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_409"> <id>104</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_410"> <id>105</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_411"> <id>106</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_412"> <id>107</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_413"> <id>108</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_414"> <id>109</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_415"> <id>110</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_416"> <id>112</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_417"> <id>116</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_418"> <id>8</id> <operations> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_419"> <id>118</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_420"> <id>119</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_421"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>-1</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_422"> <inState>2</inState> <outState>2</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>19</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_423"> <inState>2</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>19</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_424"> <inState>3</inState> <outState>4</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>41</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_425"> <inState>8</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_426"> <inState>5</inState> <outState>6</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_427"> <inState>6</inState> <outState>7</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_428"> <inState>7</inState> <outState>4</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_429"> <inState>4</inState> <outState>8</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>53</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_430"> <inState>4</inState> <outState>5</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>53</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="-1"></res> <node_label_latency class_id="37" tracking_level="0" version="0"> <count>79</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>13</first> <second class_id="39" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>3</first> <second>1</second> </second> </item> <item> <first>69</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>3</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>3</first> <second>1</second> </second> </item> <item> <first>73</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>86</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>99</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>110</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>113</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>114</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>115</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>116</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>119</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>121</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="40" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="41" tracking_level="0" version="0"> <first>17</first> <second class_id="42" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>33</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>38</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>45</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>50</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>57</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>87</first> <second> <first>3</first> <second>6</second> </second> </item> <item> <first>89</first> <second> <first>5</first> <second>5</second> </second> </item> <item> <first>103</first> <second> <first>5</first> <second>6</second> </second> </item> <item> <first>111</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>117</first> <second> <first>5</first> <second>6</second> </second> </item> <item> <first>120</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>122</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="43" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="44" tracking_level="1" version="0" object_id="_431"> <region_name>loop_width</region_name> <basic_blocks> <count>6</count> <item_version>0</item_version> <item>57</item> <item>87</item> <item>89</item> <item>103</item> <item>111</item> <item>117</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>4</pipe_depth> </item> <item class_id_reference="44" object_id="_432"> <region_name>hls_label_4</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>87</item> </basic_blocks> <nodes> <count>6</count> <item_version>0</item_version> <item>76</item> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> </nodes> <anchor_node>76</anchor_node> <region_type>1</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> <item class_id_reference="44" object_id="_433"> <region_name>hls_label_2</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>103</item> </basic_blocks> <nodes> <count>6</count> <item_version>0</item_version> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> </nodes> <anchor_node>96</anchor_node> <region_type>1</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> </regions> <dp_fu_nodes class_id="45" tracking_level="0" version="0"> <count>59</count> <item_version>0</item_version> <item class_id="46" tracking_level="0" version="0"> <first>94</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>98</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>102</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>106</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>110</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>114</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>118</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>124</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>130</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>136</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>143</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>150</first> <second> <count>1</count> <item_version>0</item_version> <item>100</item> </second> </item> <item> <first>157</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>163</first> <second> <count>4</count> <item_version>0</item_version> <item>27</item> <item>68</item> <item>68</item> <item>107</item> </second> </item> <item> <first>170</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>176</first> <second> <count>4</count> <item_version>0</item_version> <item>29</item> <item>70</item> <item>70</item> <item>108</item> </second> </item> <item> <first>183</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>189</first> <second> <count>4</count> <item_version>0</item_version> <item>31</item> <item>72</item> <item>72</item> <item>109</item> </second> </item> <item> <first>196</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>203</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>210</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>233</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>244</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>255</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>266</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>278</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>290</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>298</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>304</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>310</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>317</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>321</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>327</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>333</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>339</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>343</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>349</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>355</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>365</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>371</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>378</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>384</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>387</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>390</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>393</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>399</first> <second> <count>1</count> <item_version>0</item_version> <item>74</item> </second> </item> <item> <first>405</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>411</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>416</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>421</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>426</first> <second> <count>1</count> <item_version>0</item_version> <item>113</item> </second> </item> <item> <first>431</first> <second> <count>1</count> <item_version>0</item_version> <item>114</item> </second> </item> <item> <first>436</first> <second> <count>1</count> <item_version>0</item_version> <item>115</item> </second> </item> <item> <first>441</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>450</first> <second> <count>1</count> <item_version>0</item_version> <item>91</item> </second> </item> <item> <first>455</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>464</first> <second> <count>1</count> <item_version>0</item_version> <item>93</item> </second> </item> <item> <first>469</first> <second> <count>1</count> <item_version>0</item_version> <item>94</item> </second> </item> <item> <first>478</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="48" tracking_level="0" version="0"> <count>44</count> <item_version>0</item_version> <item class_id="49" tracking_level="0" version="0"> <first>c_V_1_fu_349</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>c_V_fu_304</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>current_sum_val_0_1_fu_411</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>current_sum_val_0_2_fu_393</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>current_sum_val_0_3_fu_114</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>current_sum_val_1_1_fu_416</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>current_sum_val_1_2_fu_399</first> <second> <count>1</count> <item_version>0</item_version> <item>74</item> </second> </item> <item> <first>current_sum_val_1_3_fu_110</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>current_sum_val_2_1_fu_421</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>current_sum_val_2_2_fu_405</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>current_sum_val_2_3_fu_106</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>exitcond1_fu_321</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>exitcond_fu_343</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>r_V_2_fu_355</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>r_V_fu_327</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>storemerge1_phi_fu_278</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>storemerge2_phi_fu_266</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>storemerge_phi_fu_290</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>sums_val_0_addr_1_gep_fu_196</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>sums_val_0_addr_gep_fu_157</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>sums_val_0_alloca_fu_94</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>sums_val_1_addr_1_gep_fu_203</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>sums_val_1_addr_gep_fu_170</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>sums_val_1_alloca_fu_98</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>sums_val_2_addr_1_gep_fu_210</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>sums_val_2_addr_gep_fu_183</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>sums_val_2_alloca_fu_102</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>t_V_1_phi_fu_244</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>t_V_2_phi_fu_255</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>t_V_phi_fu_233</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>tmp_10_fu_450</first> <second> <count>1</count> <item_version>0</item_version> <item>91</item> </second> </item> <item> <first>tmp_11_fu_455</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>tmp_12_fu_464</first> <second> <count>1</count> <item_version>0</item_version> <item>93</item> </second> </item> <item> <first>tmp_13_fu_469</first> <second> <count>1</count> <item_version>0</item_version> <item>94</item> </second> </item> <item> <first>tmp_14_fu_478</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>tmp_16_fu_339</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>tmp_3_fu_378</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>tmp_4_fu_298</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>tmp_5_fu_310</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>tmp_6_fu_365</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>tmp_7_fu_441</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>tmp_8_fu_333</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>tmp_9_fu_371</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>tmp_fu_317</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>12</count> <item_version>0</item_version> <item> <first>StgValue_100_write_fu_143</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>StgValue_101_write_fu_150</first> <second> <count>1</count> <item_version>0</item_version> <item>100</item> </second> </item> <item> <first>StgValue_85_store_fu_426</first> <second> <count>1</count> <item_version>0</item_version> <item>113</item> </second> </item> <item> <first>StgValue_86_store_fu_431</first> <second> <count>1</count> <item_version>0</item_version> <item>114</item> </second> </item> <item> <first>StgValue_87_store_fu_436</first> <second> <count>1</count> <item_version>0</item_version> <item>115</item> </second> </item> <item> <first>StgValue_99_write_fu_136</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>current_sum_val_0_3_1_load_fu_390</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>current_sum_val_1_3_1_load_fu_387</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>current_sum_val_2_3_1_load_fu_384</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>tmp_19_read_fu_130</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>tmp_20_read_fu_118</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>tmp_21_read_fu_124</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="50" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="51" tracking_level="0" version="0"> <first class_id="52" tracking_level="0" version="0"> <first>sums_val_0</first> <second>0</second> </first> <second> <count>3</count> <item_version>0</item_version> <item>27</item> <item>68</item> <item>68</item> </second> </item> <item> <first> <first>sums_val_0</first> <second>1</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first> <first>sums_val_1</first> <second>0</second> </first> <second> <count>3</count> <item_version>0</item_version> <item>29</item> <item>70</item> <item>70</item> </second> </item> <item> <first> <first>sums_val_1</first> <second>1</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>108</item> </second> </item> <item> <first> <first>sums_val_2</first> <second>0</second> </first> <second> <count>3</count> <item_version>0</item_version> <item>31</item> <item>72</item> <item>72</item> </second> </item> <item> <first> <first>sums_val_2</first> <second>1</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>29</count> <item_version>0</item_version> <item> <first>229</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>240</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>251</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>262</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>274</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>286</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>486</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>491</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>497</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>503</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>509</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>513</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>518</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>522</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>526</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>531</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>538</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>544</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>550</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>556</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>560</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first>565</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>570</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>575</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>580</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>585</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>590</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>596</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>602</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>29</count> <item_version>0</item_version> <item> <first>c_V_1_reg_526</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>c_V_reg_486</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>current_sum_val_0_1_reg_590</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>current_sum_val_0_3_reg_503</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>current_sum_val_0_reg_560</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first>current_sum_val_1_1_reg_596</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>current_sum_val_1_3_reg_497</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>current_sum_val_1_reg_565</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>current_sum_val_2_1_reg_602</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>current_sum_val_2_3_reg_491</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>current_sum_val_2_reg_570</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>exitcond1_reg_509</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>exitcond_reg_522</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>r_V_reg_513</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>storemerge1_reg_274</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>storemerge2_reg_262</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>storemerge_reg_286</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>sums_val_0_addr_1_reg_538</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>sums_val_1_addr_1_reg_544</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>sums_val_2_addr_1_reg_550</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>t_V_1_reg_240</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>t_V_2_reg_251</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>t_V_reg_229</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>tmp_19_reg_585</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>tmp_20_reg_575</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>tmp_21_reg_580</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>tmp_3_reg_556</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>tmp_6_reg_531</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>tmp_8_reg_518</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>6</count> <item_version>0</item_version> <item> <first>229</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>240</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>251</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>262</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>274</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>286</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>6</count> <item_version>0</item_version> <item> <first>storemerge1_reg_274</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>storemerge2_reg_262</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>storemerge_reg_286</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>t_V_1_reg_240</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>t_V_2_reg_251</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>t_V_reg_229</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="53" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="54" tracking_level="0" version="0"> <first>dst_data_stream_0_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> </second> </item> <item> <first>dst_data_stream_1_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> </second> </item> <item> <first>dst_data_stream_2_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>100</item> </second> </item> </second> </item> <item> <first>src_data_stream_0_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> </second> </item> <item> <first>src_data_stream_1_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> </second> </item> <item> <first>src_data_stream_2_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="55" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="56" tracking_level="0" version="0"> <first>1</first> <second>FIFO</second> </item> <item> <first>2</first> <second>FIFO</second> </item> <item> <first>3</first> <second>FIFO</second> </item> <item> <first>4</first> <second>FIFO</second> </item> <item> <first>5</first> <second>FIFO</second> </item> <item> <first>6</first> <second>FIFO</second> </item> </port2core> <node2core> <count>3</count> <item_version>0</item_version> <item> <first>13</first> <second>RAM</second> </item> <item> <first>14</first> <second>RAM</second> </item> <item> <first>15</first> <second>RAM</second> </item> </node2core> </syndb> </boost_serialization>
Ada
257,619
adb
1
27.052294
100
0.579196
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . S O C K E T S . T H I N _ C O M M O N -- -- -- -- S p e c -- -- -- -- Copyright (C) 2008-2019, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This is the target-independent part of the thin sockets mapping. -- This package should not be directly with'ed by an applications program. with Ada.Unchecked_Conversion; with Interfaces.C.Strings; package GNAT.Sockets.Thin_Common is package C renames Interfaces.C; package CS renames C.Strings; Success : constant C.int := 0; Failure : constant C.int := -1; type time_t is range -2 ** (8 * SOSC.SIZEOF_tv_sec - 1) .. 2 ** (8 * SOSC.SIZEOF_tv_sec - 1) - 1; for time_t'Size use 8 * SOSC.SIZEOF_tv_sec; pragma Convention (C, time_t); type suseconds_t is range -2 ** (8 * SOSC.SIZEOF_tv_usec - 1) .. 2 ** (8 * SOSC.SIZEOF_tv_usec - 1) - 1; for suseconds_t'Size use 8 * SOSC.SIZEOF_tv_usec; pragma Convention (C, suseconds_t); type Timeval is record Tv_Sec : time_t; Tv_Usec : suseconds_t; end record; pragma Convention (C, Timeval); type Timeval_Access is access all Timeval; pragma Convention (C, Timeval_Access); type socklen_t is mod 2 ** (8 * SOSC.SIZEOF_socklen_t); for socklen_t'Size use (8 * SOSC.SIZEOF_socklen_t); Immediat : constant Timeval := (0, 0); ------------------------------------------- -- Mapping tables to low level constants -- ------------------------------------------- Families : constant array (Family_Type) of C.int := (Family_Unspec => SOSC.AF_UNSPEC, Family_Inet => SOSC.AF_INET, Family_Inet6 => SOSC.AF_INET6); Lengths : constant array (Family_Type) of C.unsigned_char := (Family_Unspec => 0, Family_Inet => SOSC.SIZEOF_sockaddr_in, Family_Inet6 => SOSC.SIZEOF_sockaddr_in6); ---------------------------- -- Generic socket address -- ---------------------------- -- Common header -- All socket address types (struct sockaddr, struct sockaddr_storage, -- and protocol specific address types) start with the same 2-byte header, -- which is either a length and a family (one byte each) or just a two-byte -- family. The following unchecked union describes the two possible layouts -- and is meant to be constrained with SOSC.Have_Sockaddr_Len. type Sockaddr_Length_And_Family (Has_Sockaddr_Len : Boolean := False) is record case Has_Sockaddr_Len is when True => Length : C.unsigned_char; Char_Family : C.unsigned_char; when False => Short_Family : C.unsigned_short; end case; end record; pragma Unchecked_Union (Sockaddr_Length_And_Family); pragma Convention (C, Sockaddr_Length_And_Family); procedure Set_Family (Length_And_Family : out Sockaddr_Length_And_Family; Family : Family_Type); -- Set the family component to the appropriate value for Family, and also -- set Length accordingly if applicable on this platform. ---------------------------- -- AF_INET socket address -- ---------------------------- type In_Addr is record S_B1, S_B2, S_B3, S_B4 : C.unsigned_char; end record; for In_Addr'Alignment use C.int'Alignment; pragma Convention (C, In_Addr); -- IPv4 address, represented as a network-order C.int. Note that the -- underlying operating system may assume that values of this type have -- C.int alignment, so we need to provide a suitable alignment clause here. function To_In_Addr is new Ada.Unchecked_Conversion (C.int, In_Addr); function To_Int is new Ada.Unchecked_Conversion (In_Addr, C.int); function To_In_Addr (Addr : Inet_Addr_Type) return In_Addr; procedure To_Inet_Addr (Addr : In_Addr; Result : out Inet_Addr_Type); -- Conversion functions type In6_Addr is array (1 .. 16) of C.unsigned_char; for In6_Addr'Alignment use C.int'Alignment; pragma Convention (C, In6_Addr); function To_In6_Addr (Addr : Inet_Addr_Type) return In6_Addr; procedure To_Inet_Addr (Addr : In6_Addr; Result : out Inet_Addr_Type); -- Conversion functions type Sockaddr (Family : Family_Type := Family_Inet) is record Sin_Family : Sockaddr_Length_And_Family; -- Address family (and address length on some platforms) Sin_Port : C.unsigned_short; -- Port in network byte order case Family is when Family_Inet => Sin_Addr : In_Addr := (others => 0); -- IPv4 address Sin_Zero : C.char_array (1 .. 8) := (others => C.nul); -- Padding -- -- Note that some platforms require that all unused (reserved) bytes -- in addresses be initialized to 0 (e.g. VxWorks). when Family_Inet6 => Sin6_FlowInfo : Interfaces.Unsigned_32 := 0; Sin6_Addr : In6_Addr := (others => 0); Sin6_Scope_Id : Interfaces.Unsigned_32 := 0; when Family_Unspec => null; end case; end record; pragma Unchecked_Union (Sockaddr); pragma Convention (C, Sockaddr); -- Internet socket address type Sockaddr_Access is access all Sockaddr; pragma Convention (C, Sockaddr_Access); -- Access to internet socket address procedure Set_Address (Sin : Sockaddr_Access; Address : Sock_Addr_Type); -- Initialise all necessary fields in Sin from Address. -- Set appropriate Family, Port, and either Sin.Sin_Addr or Sin.Sin6_Addr -- depend on family. function Get_Address (Sin : Sockaddr) return Sock_Addr_Type; -- Get Sock_Addr_Type from Sockaddr ------------------ -- Host entries -- ------------------ type Hostent is new System.Storage_Elements.Storage_Array (1 .. SOSC.SIZEOF_struct_hostent); for Hostent'Alignment use 8; -- Host entry. This is an opaque type used only via the following -- accessor functions, because 'struct hostent' has different layouts on -- different platforms. type Hostent_Access is access all Hostent; pragma Convention (C, Hostent_Access); -- Access to host entry function Hostent_H_Name (E : Hostent_Access) return System.Address; function Hostent_H_Alias (E : Hostent_Access; I : C.int) return System.Address; function Hostent_H_Addrtype (E : Hostent_Access) return C.int; function Hostent_H_Length (E : Hostent_Access) return C.int; function Hostent_H_Addr (E : Hostent_Access; Index : C.int) return System.Address; --------------------- -- Service entries -- --------------------- type Servent is new System.Storage_Elements.Storage_Array (1 .. SOSC.SIZEOF_struct_servent); for Servent'Alignment use 8; -- Service entry. This is an opaque type used only via the following -- accessor functions, because 'struct servent' has different layouts on -- different platforms. type Servent_Access is access all Servent; pragma Convention (C, Servent_Access); -- Access to service entry function Servent_S_Name (E : Servent_Access) return System.Address; function Servent_S_Alias (E : Servent_Access; Index : C.int) return System.Address; function Servent_S_Port (E : Servent_Access) return C.unsigned_short; function Servent_S_Proto (E : Servent_Access) return System.Address; ------------------ -- NetDB access -- ------------------ -- There are three possible situations for the following NetDB access -- functions: -- - inherently thread safe (case of data returned in a thread specific -- buffer); -- - thread safe using user-provided buffer; -- - thread unsafe. -- -- In the first and third cases, the Buf and Buflen are ignored. In the -- second case, the caller must provide a buffer large enough to -- accommodate the returned data. In the third case, the caller must ensure -- that these functions are called within a critical section. function C_Gethostbyname (Name : C.char_array; Ret : not null access Hostent; Buf : System.Address; Buflen : C.int; H_Errnop : not null access C.int) return C.int; function C_Gethostbyaddr (Addr : System.Address; Addr_Len : C.int; Addr_Type : C.int; Ret : not null access Hostent; Buf : System.Address; Buflen : C.int; H_Errnop : not null access C.int) return C.int; function C_Getservbyname (Name : C.char_array; Proto : C.char_array; Ret : not null access Servent; Buf : System.Address; Buflen : C.int) return C.int; function C_Getservbyport (Port : C.int; Proto : C.char_array; Ret : not null access Servent; Buf : System.Address; Buflen : C.int) return C.int; Address_Size : constant := Standard'Address_Size; type Addrinfo; type Addrinfo_Access is access all Addrinfo; type Addrinfo is record ai_flags : C.int; ai_family : C.int; ai_socktype : C.int; ai_protocol : C.int; ai_addrlen : socklen_t; ai_addr : Sockaddr_Access; ai_canonname : CS.char_array_access; ai_next : Addrinfo_Access; end record with Convention => C; for Addrinfo use record ai_flags at SOSC.AI_FLAGS_OFFSET range 0 .. C.int'Size - 1; ai_family at SOSC.AI_FAMILY_OFFSET range 0 .. C.int'Size - 1; ai_socktype at SOSC.AI_SOCKTYPE_OFFSET range 0 .. C.int'Size - 1; ai_protocol at SOSC.AI_PROTOCOL_OFFSET range 0 .. C.int'Size - 1; ai_addrlen at SOSC.AI_ADDRLEN_OFFSET range 0 .. socklen_t'Size - 1; ai_canonname at SOSC.AI_CANONNAME_OFFSET range 0 .. Address_Size - 1; ai_addr at SOSC.AI_ADDR_OFFSET range 0 .. Address_Size - 1; ai_next at SOSC.AI_NEXT_OFFSET range 0 .. Address_Size - 1; end record; function C_Getaddrinfo (Node : CS.char_array_access; Service : CS.char_array_access; Hints : access constant Addrinfo; Res : not null access Addrinfo_Access) return C.int; procedure C_Freeaddrinfo (res : Addrinfo_Access); function C_Getnameinfo (sa : Sockaddr_Access; salen : socklen_t; host : CS.char_array_access; hostlen : C.size_t; serv : CS.char_array_access; servlen : C.size_t; flags : C.int) return C.int; function C_GAI_Strerror (ecode : C.int) return CS.chars_ptr; ------------------------------------ -- Scatter/gather vector handling -- ------------------------------------ type Msghdr is record Msg_Name : System.Address; Msg_Namelen : C.unsigned; Msg_Iov : System.Address; Msg_Iovlen : SOSC.Msg_Iovlen_T; Msg_Control : System.Address; Msg_Controllen : C.size_t; Msg_Flags : C.int; end record; pragma Convention (C, Msghdr); ---------------------------- -- Socket sets management -- ---------------------------- procedure Get_Socket_From_Set (Set : access Fd_Set; Last : access C.int; Socket : access C.int); -- Get last socket in Socket and remove it from the socket set. The -- parameter Last is a maximum value of the largest socket. This hint is -- used to avoid scanning very large socket sets. After a call to -- Get_Socket_From_Set, Last is set back to the real largest socket in the -- socket set. procedure Insert_Socket_In_Set (Set : access Fd_Set; Socket : C.int); -- Insert socket in the socket set function Is_Socket_In_Set (Set : access constant Fd_Set; Socket : C.int) return C.int; -- Check whether Socket is in the socket set, return a non-zero -- value if it is, zero if it is not. procedure Last_Socket_In_Set (Set : access Fd_Set; Last : access C.int); -- Find the largest socket in the socket set. This is needed for select(). -- When Last_Socket_In_Set is called, parameter Last is a maximum value of -- the largest socket. This hint is used to avoid scanning very large -- socket sets. After the call, Last is set back to the real largest socket -- in the socket set. procedure Remove_Socket_From_Set (Set : access Fd_Set; Socket : C.int); -- Remove socket from the socket set procedure Reset_Socket_Set (Set : access Fd_Set); -- Make Set empty ------------------------------------------ -- Pairs of signalling file descriptors -- ------------------------------------------ type Two_Ints is array (0 .. 1) of C.int; pragma Convention (C, Two_Ints); -- Container for two int values subtype Fd_Pair is Two_Ints; -- Two_Ints as used for Create_Signalling_Fds: a pair of connected file -- descriptors, one of which (the "read end" of the connection) being used -- for reading, the other one (the "write end") being used for writing. Read_End : constant := 0; Write_End : constant := 1; -- Indexes into an Fd_Pair value providing access to each of the connected -- file descriptors. function Inet_Pton (Af : C.int; Cp : System.Address; Inp : System.Address) return C.int; function Inet_Ntop (Af : C.int; Src : System.Address; Dst : CS.char_array_access; Size : socklen_t) return CS.char_array_access; function C_Ioctl (Fd : C.int; Req : SOSC.IOCTL_Req_T; Arg : access C.int) return C.int; function Short_To_Network (S : C.unsigned_short) return C.unsigned_short; pragma Inline (Short_To_Network); -- Convert a port number into a network port number function Network_To_Short (S : C.unsigned_short) return C.unsigned_short renames Short_To_Network; -- Symmetric operation private pragma Import (C, Get_Socket_From_Set, "__gnat_get_socket_from_set"); pragma Import (C, Is_Socket_In_Set, "__gnat_is_socket_in_set"); pragma Import (C, Last_Socket_In_Set, "__gnat_last_socket_in_set"); pragma Import (C, Insert_Socket_In_Set, "__gnat_insert_socket_in_set"); pragma Import (C, Remove_Socket_From_Set, "__gnat_remove_socket_from_set"); pragma Import (C, Reset_Socket_Set, "__gnat_reset_socket_set"); pragma Import (C, C_Ioctl, "__gnat_socket_ioctl"); pragma Import (C, Inet_Pton, SOSC.Inet_Pton_Linkname); pragma Import (C, Inet_Ntop, SOSC.Inet_Ntop_Linkname); pragma Import (C, C_Gethostbyname, "__gnat_gethostbyname"); pragma Import (C, C_Gethostbyaddr, "__gnat_gethostbyaddr"); pragma Import (C, C_Getservbyname, "__gnat_getservbyname"); pragma Import (C, C_Getservbyport, "__gnat_getservbyport"); pragma Import (C, C_Getaddrinfo, "__gnat_getaddrinfo"); pragma Import (C, C_Freeaddrinfo, "__gnat_freeaddrinfo"); pragma Import (C, C_Getnameinfo, "__gnat_getnameinfo"); pragma Import (C, C_GAI_Strerror, "__gnat_gai_strerror"); pragma Import (C, Servent_S_Name, "__gnat_servent_s_name"); pragma Import (C, Servent_S_Alias, "__gnat_servent_s_alias"); pragma Import (C, Servent_S_Port, "__gnat_servent_s_port"); pragma Import (C, Servent_S_Proto, "__gnat_servent_s_proto"); pragma Import (C, Hostent_H_Name, "__gnat_hostent_h_name"); pragma Import (C, Hostent_H_Alias, "__gnat_hostent_h_alias"); pragma Import (C, Hostent_H_Addrtype, "__gnat_hostent_h_addrtype"); pragma Import (C, Hostent_H_Length, "__gnat_hostent_h_length"); pragma Import (C, Hostent_H_Addr, "__gnat_hostent_h_addr"); end GNAT.Sockets.Thin_Common;
Ada
17,900
ads
null
37.763713
79
0.608883
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Localization, Internationalization, Globalization for Ada -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2009-2013, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Atomic test-and-set operation on access type. ------------------------------------------------------------------------------ pragma Ada_2012; generic type T (<>) is limited private; type T_Access is access T; function Matreshka.Atomics.Generic_Test_And_Set (Target : in out T_Access; Expected_Value : T_Access; New_Value : T_Access) return Boolean; pragma Preelaborate (Matreshka.Atomics.Generic_Test_And_Set); pragma Inline (Matreshka.Atomics.Generic_Test_And_Set);
Ada
3,837
ads
24
66.155172
78
0.429242
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- package body Program.Nodes.Explicit_Dereferences is function Create (Prefix : not null Program.Elements.Expressions.Expression_Access; Dot_Token : not null Program.Lexical_Elements.Lexical_Element_Access; All_Token : not null Program.Lexical_Elements.Lexical_Element_Access) return Explicit_Dereference is begin return Result : Explicit_Dereference := (Prefix => Prefix, Dot_Token => Dot_Token, All_Token => All_Token, Enclosing_Element => null) do Initialize (Result); end return; end Create; function Create (Prefix : not null Program.Elements.Expressions .Expression_Access; Is_Part_Of_Implicit : Boolean := False; Is_Part_Of_Inherited : Boolean := False; Is_Part_Of_Instance : Boolean := False) return Implicit_Explicit_Dereference is begin return Result : Implicit_Explicit_Dereference := (Prefix => Prefix, Is_Part_Of_Implicit => Is_Part_Of_Implicit, Is_Part_Of_Inherited => Is_Part_Of_Inherited, Is_Part_Of_Instance => Is_Part_Of_Instance, Enclosing_Element => null) do Initialize (Result); end return; end Create; overriding function Prefix (Self : Base_Explicit_Dereference) return not null Program.Elements.Expressions.Expression_Access is begin return Self.Prefix; end Prefix; overriding function Dot_Token (Self : Explicit_Dereference) return not null Program.Lexical_Elements.Lexical_Element_Access is begin return Self.Dot_Token; end Dot_Token; overriding function All_Token (Self : Explicit_Dereference) return not null Program.Lexical_Elements.Lexical_Element_Access is begin return Self.All_Token; end All_Token; overriding function Is_Part_Of_Implicit (Self : Implicit_Explicit_Dereference) return Boolean is begin return Self.Is_Part_Of_Implicit; end Is_Part_Of_Implicit; overriding function Is_Part_Of_Inherited (Self : Implicit_Explicit_Dereference) return Boolean is begin return Self.Is_Part_Of_Inherited; end Is_Part_Of_Inherited; overriding function Is_Part_Of_Instance (Self : Implicit_Explicit_Dereference) return Boolean is begin return Self.Is_Part_Of_Instance; end Is_Part_Of_Instance; procedure Initialize (Self : in out Base_Explicit_Dereference'Class) is begin Set_Enclosing_Element (Self.Prefix, Self'Unchecked_Access); null; end Initialize; overriding function Is_Explicit_Dereference (Self : Base_Explicit_Dereference) return Boolean is pragma Unreferenced (Self); begin return True; end Is_Explicit_Dereference; overriding function Is_Expression (Self : Base_Explicit_Dereference) return Boolean is pragma Unreferenced (Self); begin return True; end Is_Expression; overriding procedure Visit (Self : not null access Base_Explicit_Dereference; Visitor : in out Program.Element_Visitors.Element_Visitor'Class) is begin Visitor.Explicit_Dereference (Self); end Visit; overriding function To_Explicit_Dereference_Text (Self : in out Explicit_Dereference) return Program.Elements.Explicit_Dereferences .Explicit_Dereference_Text_Access is begin return Self'Unchecked_Access; end To_Explicit_Dereference_Text; overriding function To_Explicit_Dereference_Text (Self : in out Implicit_Explicit_Dereference) return Program.Elements.Explicit_Dereferences .Explicit_Dereference_Text_Access is pragma Unreferenced (Self); begin return null; end To_Explicit_Dereference_Text; end Program.Nodes.Explicit_Dereferences;
Ada
3,944
adb
null
30.8125
79
0.717039
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>call_Loop_LB2D_buf_p_1</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>in_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>stream&amp;lt;PackedStencil&amp;lt;int, 1, 1, 1, 1&amp;gt; &amp;gt;.V.value.V</originalName> <rtlName></rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>slice_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>25</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>7</id> <name>buffer_0_value_V</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>168</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>168</second> </item> </second> </item> </inlineStackInfo> <originalName>buffer[0].value.V</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>50</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>8</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>51</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>10</id> <name>row</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>row</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>53</item> <item>54</item> <item>55</item> <item>56</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>11</id> <name>tmp</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>177</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>177</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>57</item> <item>59</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>13</id> <name>row_1</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>177</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>177</second> </item> </second> </item> </inlineStackInfo> <originalName>row</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>60</item> <item>62</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>14</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>177</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>177</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>63</item> <item>64</item> <item>65</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>18</id> <name>tmp_s</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>187</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>187</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>79</item> <item>80</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>19</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>81</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>21</id> <name>col</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>col</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>67</item> <item>68</item> <item>69</item> <item>70</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_7</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>71</item> <item>73</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>23</id> <name>col_1</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName>col</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>74</item> <item>75</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>24</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>76</item> <item>77</item> <item>78</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>26</id> <name>col_cast</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>89</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp_value_V_3</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>186</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>186</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>91</item> <item>92</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>31</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>187</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>187</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>93</item> <item>94</item> <item>95</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>33</id> <name>buffer_0_value_V_ad_1</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>198</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>198</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>96</item> <item>97</item> <item>98</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>34</id> <name>p_Val2_s</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>198</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>198</second> </item> </second> </item> </inlineStackInfo> <originalName>__Val2__</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>99</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>35</id> <name>p_Result_s</name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName>__Result__</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>101</item> <item>102</item> <item>103</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>36</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>207</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>207</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>105</item> <item>106</item> <item>107</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>37</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>208</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>208</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>108</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>39</id> <name>buffer_0_value_V_ad</name> <fileName>../../../lib_files/Stencil.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>75</lineNumber> <contextFuncName>operator=</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>209</second> </item> <item> <first> <first>../../../lib_files/Stencil.h</first> <second>operator=</second> </first> <second>75</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>82</item> <item>84</item> <item>85</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>40</id> <name></name> <fileName>../../../lib_files/Stencil.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>75</lineNumber> <contextFuncName>operator=</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>209</second> </item> <item> <first> <first>../../../lib_files/Stencil.h</first> <second>operator=</second> </first> <second>75</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>86</item> <item>87</item> <item>221</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>42</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>179</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>179</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>88</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>45</id> <name></name> <fileName>../../../lib_files/Linebuffer.h</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</fileDirectory> <lineNumber>177</lineNumber> <contextFuncName>call</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>../../../lib_files/Linebuffer.h</first> <second>call</second> </first> <second>177</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>66</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>47</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_28"> <Value> <Obj> <type>2</type> <id>49</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_29"> <Value> <Obj> <type>2</type> <id>52</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_30"> <Value> <Obj> <type>2</type> <id>58</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1078</content> </item> <item class_id_reference="16" object_id="_31"> <Value> <Obj> <type>2</type> <id>61</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_32"> <Value> <Obj> <type>2</type> <id>72</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1918</content> </item> <item class_id_reference="16" object_id="_33"> <Value> <Obj> <type>2</type> <id>83</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_34"> <Obj> <type>3</type> <id>9</id> <name>newFuncRoot</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>7</item> <item>8</item> </node_objs> </item> <item class_id_reference="18" object_id="_35"> <Obj> <type>3</type> <id>15</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>10</item> <item>11</item> <item>13</item> <item>14</item> </node_objs> </item> <item class_id_reference="18" object_id="_36"> <Obj> <type>3</type> <id>20</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>18</item> <item>19</item> </node_objs> </item> <item class_id_reference="18" object_id="_37"> <Obj> <type>3</type> <id>25</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>23</item> <item>24</item> </node_objs> </item> <item class_id_reference="18" object_id="_38"> <Obj> <type>3</type> <id>32</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>26</item> <item>30</item> <item>31</item> </node_objs> </item> <item class_id_reference="18" object_id="_39"> <Obj> <type>3</type> <id>38</id> <name>.preheader57</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> </node_objs> </item> <item class_id_reference="18" object_id="_40"> <Obj> <type>3</type> <id>43</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>39</item> <item>40</item> <item>42</item> </node_objs> </item> <item class_id_reference="18" object_id="_41"> <Obj> <type>3</type> <id>46</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>45</item> </node_objs> </item> <item class_id_reference="18" object_id="_42"> <Obj> <type>3</type> <id>48</id> <name>.preheader.exitStub</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>47</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>60</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_43"> <id>50</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>7</sink_obj> </item> <item class_id_reference="20" object_id="_44"> <id>51</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>8</sink_obj> </item> <item class_id_reference="20" object_id="_45"> <id>53</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_46"> <id>54</id> <edge_type>2</edge_type> <source_obj>9</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_47"> <id>55</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_48"> <id>56</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_49"> <id>57</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_50"> <id>59</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_51"> <id>60</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_52"> <id>62</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_53"> <id>63</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_54"> <id>64</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_55"> <id>65</id> <edge_type>2</edge_type> <source_obj>48</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_56"> <id>66</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_57"> <id>67</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_58"> <id>68</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_59"> <id>69</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_60"> <id>70</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_61"> <id>71</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_62"> <id>73</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_63"> <id>74</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_64"> <id>75</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_65"> <id>76</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_66"> <id>77</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_67"> <id>78</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_68"> <id>79</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_69"> <id>80</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_70"> <id>81</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_71"> <id>82</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_72"> <id>84</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_73"> <id>85</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_74"> <id>86</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_75"> <id>87</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_76"> <id>88</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>42</sink_obj> </item> <item class_id_reference="20" object_id="_77"> <id>89</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_78"> <id>92</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_79"> <id>93</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_80"> <id>94</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_81"> <id>95</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_82"> <id>96</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_83"> <id>97</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_84"> <id>98</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_85"> <id>99</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> </item> <item class_id_reference="20" object_id="_86"> <id>102</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_87"> <id>103</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_88"> <id>106</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_89"> <id>107</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_90"> <id>108</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_91"> <id>210</id> <edge_type>2</edge_type> <source_obj>9</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_92"> <id>211</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_93"> <id>212</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_94"> <id>213</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_95"> <id>214</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>46</sink_obj> </item> <item class_id_reference="20" object_id="_96"> <id>215</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_97"> <id>216</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_98"> <id>217</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_99"> <id>218</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_100"> <id>219</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_101"> <id>220</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_102"> <id>221</id> <edge_type>4</edge_type> <source_obj>34</source_obj> <sink_obj>40</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_103"> <mId>1</mId> <mTag>call_Loop_LB2D_buf_p.1</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>7</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2071917</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_104"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>9</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_105"> <mId>3</mId> <mTag>LB2D_buf</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>4</item> <item>5</item> <item>6</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>1078</mMinTripCount> <mMaxTripCount>1078</mMaxTripCount> <mMinLatency>2071916</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_106"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>15</item> <item>20</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_107"> <mId>5</mId> <mTag>LB2D_buf.1</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>4</count> <item_version>0</item_version> <item>25</item> <item>32</item> <item>38</item> <item>43</item> </basic_blocks> <mII>1</mII> <mDepth>3</mDepth> <mMinTripCount>1918</mMinTripCount> <mMaxTripCount>1918</mMaxTripCount> <mMinLatency>1919</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_108"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>46</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_109"> <mId>7</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>48</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_110"> <states class_id="25" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_111"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_112"> <id>3</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_113"> <id>4</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_114"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_115"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_116"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_117"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_118"> <id>2</id> <operations> <count>10</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_119"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_120"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_121"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_122"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_123"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_124"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_125"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_126"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_127"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_128"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_129"> <id>3</id> <operations> <count>8</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_130"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_131"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_132"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_133"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_134"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_135"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_136"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_137"> <id>34</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_138"> <id>4</id> <operations> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_139"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_140"> <id>34</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_141"> <id>5</id> <operations> <count>10</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_142"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_143"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_144"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_145"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_146"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_147"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_148"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_149"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_150"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_151"> <id>42</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_152"> <id>6</id> <operations> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_153"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_154"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_155"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>31</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_156"> <inState>2</inState> <outState>3</outState> <condition> <id>33</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>11</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_157"> <inState>6</inState> <outState>2</outState> <condition> <id>44</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_158"> <inState>4</inState> <outState>5</outState> <condition> <id>46</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_159"> <inState>5</inState> <outState>3</outState> <condition> <id>47</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_160"> <inState>3</inState> <outState>6</outState> <condition> <id>45</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>22</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_161"> <inState>3</inState> <outState>4</outState> <condition> <id>48</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>22</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="-1"></res> <node_label_latency class_id="37" tracking_level="0" version="0"> <count>25</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>7</first> <second class_id="39" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>8</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>35</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>1</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="40" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="41" tracking_level="0" version="0"> <first>9</first> <second class_id="42" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>25</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>32</first> <second> <first>2</first> <second>4</second> </second> </item> <item> <first>38</first> <second> <first>2</first> <second>4</second> </second> </item> <item> <first>43</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>46</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>48</first> <second> <first>1</first> <second>1</second> </second> </item> </bblk_ent_exit> <regions class_id="43" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="44" tracking_level="1" version="0" object_id="_162"> <region_name>LB2D_buf.1</region_name> <basic_blocks> <count>4</count> <item_version>0</item_version> <item>25</item> <item>32</item> <item>38</item> <item>43</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>3</pipe_depth> </item> </regions> <dp_fu_nodes class_id="45" tracking_level="0" version="0"> <count>15</count> <item_version>0</item_version> <item class_id="46" tracking_level="0" version="0"> <first>60</first> <second> <count>1</count> <item_version>0</item_version> <item>7</item> </second> </item> <item> <first>64</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>70</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>77</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>83</first> <second> <count>3</count> <item_version>0</item_version> <item>34</item> <item>34</item> <item>40</item> </second> </item> <item> <first>88</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>102</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>113</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>120</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>126</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>132</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>138</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>144</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>150</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>155</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="48" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="49" tracking_level="0" version="0"> <first>buffer_0_value_V_ad_1_gep_fu_77</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>buffer_0_value_V_ad_gep_fu_88</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>buffer_0_value_V_alloca_fu_60</first> <second> <count>1</count> <item_version>0</item_version> <item>7</item> </second> </item> <item> <first>col_1_fu_144</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>col_cast_fu_150</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>col_phi_fu_113</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>p_Result_s_fu_155</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>row_1_fu_126</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>row_phi_fu_102</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>tmp_7_fu_138</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>tmp_fu_120</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>tmp_s_fu_132</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>2</count> <item_version>0</item_version> <item> <first>StgValue_37_write_fu_70</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>tmp_value_V_3_read_fu_64</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="50" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="51" tracking_level="0" version="0"> <first class_id="52" tracking_level="0" version="0"> <first>buffer_0_value_V</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>34</item> <item>34</item> </second> </item> <item> <first> <first>buffer_0_value_V</first> <second>1</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>11</count> <item_version>0</item_version> <item> <first>98</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>162</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>166</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>171</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>175</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>179</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>184</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>189</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>194</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>200</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>11</count> <item_version>0</item_version> <item> <first>buffer_0_value_V_ad_1_reg_189</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>col_1_reg_179</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>col_cast_reg_184</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>col_reg_109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>p_Val2_s_reg_200</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>row_1_reg_166</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>row_reg_98</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>tmp_7_reg_175</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>tmp_reg_162</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>tmp_s_reg_171</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>tmp_value_V_3_reg_194</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>2</count> <item_version>0</item_version> <item> <first>98</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>2</count> <item_version>0</item_version> <item> <first>col_reg_109</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>row_reg_98</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="53" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="54" tracking_level="0" version="0"> <first>in_stream_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> </second> </item> <item> <first>slice_stream_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="55" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="56" tracking_level="0" version="0"> <first>1</first> <second>FIFO_SRL</second> </item> <item> <first>2</first> <second>FIFO_SRL</second> </item> </port2core> <node2core> <count>1</count> <item_version>0</item_version> <item> <first>7</first> <second>RAM</second> </item> </node2core> </syndb> </boost_serialization>
Ada
83,250
adb
1
25.942661
139
0.594006
-- C94011A.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- CHECK THAT IF A FORMAL ACCESS TYPE OF A GENERIC UNIT DESIGNATES A -- FORMAL LIMITED PRIVATE TYPE, THEN WHEN THE UNIT IS INSTANTIATED WITH -- A TASK TYPE OR A TYPE HAVING A SUBCOMPONENT OF A TASK TYPE, THE -- MASTER FOR ANY TASKS ALLOCATED WITHIN THE INSTANTIATED UNIT IS -- DETERMINED BY THE ACTUAL PARAMETER. -- TBN 9/22/86 WITH REPORT; USE REPORT; PROCEDURE C94011A IS GLOBAL_INT : INTEGER := 0; MY_EXCEPTION : EXCEPTION; PACKAGE P IS TYPE LIM_PRI_TASK IS LIMITED PRIVATE; PROCEDURE E (T : LIM_PRI_TASK); PRIVATE TASK TYPE LIM_PRI_TASK IS ENTRY E; END LIM_PRI_TASK; END P; USE P; TASK TYPE TT IS ENTRY E; END TT; TYPE REC IS RECORD A : INTEGER := 1; B : TT; END RECORD; TYPE LIM_REC IS RECORD A : INTEGER := 1; B : LIM_PRI_TASK; END RECORD; PACKAGE BODY P IS TASK BODY LIM_PRI_TASK IS BEGIN ACCEPT E; GLOBAL_INT := IDENT_INT (2); END LIM_PRI_TASK; PROCEDURE E (T : LIM_PRI_TASK) IS BEGIN T.E; END E; END P; TASK BODY TT IS BEGIN ACCEPT E; GLOBAL_INT := IDENT_INT (1); END TT; GENERIC TYPE T IS LIMITED PRIVATE; TYPE ACC_T IS ACCESS T; PROCEDURE PROC (A : OUT ACC_T); PROCEDURE PROC (A : OUT ACC_T) IS BEGIN A := NEW T; END PROC; GENERIC TYPE T IS LIMITED PRIVATE; TYPE ACC_T IS ACCESS T; FUNCTION FUNC RETURN ACC_T; FUNCTION FUNC RETURN ACC_T IS BEGIN RETURN NEW T; END FUNC; GENERIC TYPE T IS LIMITED PRIVATE; TYPE ACC_T IS ACCESS T; PACKAGE PAC IS PTR_T : ACC_T := NEW T; END PAC; BEGIN TEST ("C94011A", "CHECK THAT IF A FORMAL ACCESS TYPE OF A " & "GENERIC UNIT DESIGNATES A FORMAL LIMITED " & "PRIVATE TYPE, THEN WHEN THE UNIT IS " & "INSTANTIATED, THE MASTER FOR ANY TASKS " & "ALLOCATED WITHIN THE INSTANTIATED UNIT IS " & "DETERMINED BY THE ACTUAL PARAMETER"); ------------------------------------------------------------------- DECLARE TYPE ACC_TT IS ACCESS TT; ACC1 : ACC_TT; PROCEDURE PROC1 IS NEW PROC (TT, ACC_TT); BEGIN PROC1 (ACC1); ACC1.E; EXCEPTION WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 1"); END; IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 1"); END IF; ------------------------------------------------------------------- BEGIN GLOBAL_INT := IDENT_INT (0); DECLARE TYPE ACC_REC IS ACCESS REC; A : ACC_REC; FUNCTION FUNC1 IS NEW FUNC (REC, ACC_REC); BEGIN A := FUNC1; A.B.E; RAISE MY_EXCEPTION; EXCEPTION WHEN MY_EXCEPTION => RAISE MY_EXCEPTION; WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 2"); END; FAILED ("MY_EXCEPTION NOT RAISED - 2"); EXCEPTION WHEN MY_EXCEPTION => IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 2"); END IF; WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED - 2"); END; ------------------------------------------------------------------- GLOBAL_INT := IDENT_INT (0); BEGIN DECLARE TYPE ACC_LIM_TT IS ACCESS LIM_PRI_TASK; BEGIN DECLARE A : ACC_LIM_TT; FUNCTION FUNC2 IS NEW FUNC (LIM_PRI_TASK, ACC_LIM_TT); BEGIN A := FUNC2; E (A.ALL); END; EXCEPTION WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 3"); END; IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 3"); END IF; END; ------------------------------------------------------------------- GLOBAL_INT := IDENT_INT (0); BEGIN DECLARE TYPE ACC_LIM_REC IS ACCESS LIM_REC; BEGIN DECLARE ACC2 : ACC_LIM_REC; PROCEDURE PROC2 IS NEW PROC (LIM_REC, ACC_LIM_REC); BEGIN PROC2 (ACC2); E (ACC2.B); END; RAISE MY_EXCEPTION; EXCEPTION WHEN MY_EXCEPTION => RAISE MY_EXCEPTION; WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 4"); END; FAILED ("MY_EXCEPTION NOT RAISED - 4"); EXCEPTION WHEN MY_EXCEPTION => IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 4"); END IF; WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED - 4"); END; ------------------------------------------------------------------- BEGIN GLOBAL_INT := IDENT_INT (0); DECLARE TYPE ACC_TT IS ACCESS TT; PACKAGE PAC1 IS NEW PAC (TT, ACC_TT); USE PAC1; BEGIN PTR_T.E; RAISE MY_EXCEPTION; EXCEPTION WHEN MY_EXCEPTION => RAISE MY_EXCEPTION; WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 5"); END; FAILED ("MY_EXCEPTION NOT RAISED - 5"); EXCEPTION WHEN MY_EXCEPTION => IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 5"); END IF; WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED - 5"); END; ------------------------------------------------------------------- GLOBAL_INT := IDENT_INT (0); DECLARE TYPE ACC_LIM_REC IS ACCESS LIM_REC; BEGIN DECLARE PACKAGE PAC2 IS NEW PAC (LIM_REC, ACC_LIM_REC); USE PAC2; BEGIN E (PTR_T.B); END; EXCEPTION WHEN OTHERS => FAILED ("TASK DEPENDENT ON WRONG MASTER - 6"); END; IF GLOBAL_INT = IDENT_INT (0) THEN FAILED ("TASK NOT DEPENDENT ON MASTER - 6"); END IF; ------------------------------------------------------------------- RESULT; END C94011A;
Ada
8,311
ada
7
30.895911
79
0.479365
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- E X P _ A T A G -- -- -- -- S p e c -- -- -- -- Copyright (C) 2006-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Atree; use Atree; with Einfo; use Einfo; with Elists; use Elists; with Exp_Disp; use Exp_Disp; with Namet; use Namet; with Nlists; use Nlists; with Nmake; use Nmake; with Opt; use Opt; with Rtsfind; use Rtsfind; with Sinfo; use Sinfo; with Sem_Aux; use Sem_Aux; with Sem_Disp; use Sem_Disp; with Sem_Util; use Sem_Util; with Stand; use Stand; with Snames; use Snames; with Tbuild; use Tbuild; package body Exp_Atag is ----------------------- -- Local Subprograms -- ----------------------- function Build_DT (Loc : Source_Ptr; Tag_Node : Node_Id) return Node_Id; -- Build code that displaces the Tag to reference the base of the wrapper -- record -- -- Generates: -- To_Dispatch_Table_Ptr -- (To_Address (Tag_Node) - Tag_Node.Prims_Ptr'Position); function Build_Range (Loc : Source_Ptr; Lo, Hi : Nat) return Node_Id; -- Build an N_Range node for [Lo; Hi] with Standard.Natural type function Build_TSD (Loc : Source_Ptr; Tag_Node_Addr : Node_Id) return Node_Id; -- Build code that retrieves the address of the record containing the Type -- Specific Data generated by GNAT. -- -- Generate: To_Type_Specific_Data_Ptr -- (To_Addr_Ptr (Tag_Node_Addr - Typeinfo_Offset).all); function Build_Val (Loc : Source_Ptr; V : Uint) return Node_Id; -- Build an N_Integer_Literal node for V with Standard.Natural type ------------------------------------------------ -- Build_Common_Dispatching_Select_Statements -- ------------------------------------------------ procedure Build_Common_Dispatching_Select_Statements (Typ : Entity_Id; Stmts : List_Id) is Loc : constant Source_Ptr := Sloc (Typ); Tag_Node : Node_Id; begin -- Generate: -- C := get_prim_op_kind (tag! (<type>VP), S); -- where C is the out parameter capturing the call kind and S is the -- dispatch table slot number. if Tagged_Type_Expansion then Tag_Node := Unchecked_Convert_To (RTE (RE_Tag), New_Occurrence_Of (Node (First_Elmt (Access_Disp_Table (Typ))), Loc)); else Tag_Node := Make_Attribute_Reference (Loc, Prefix => New_Occurrence_Of (Typ, Loc), Attribute_Name => Name_Tag); end if; Append_To (Stmts, Make_Assignment_Statement (Loc, Name => Make_Identifier (Loc, Name_uC), Expression => Make_Function_Call (Loc, Name => New_Occurrence_Of (RTE (RE_Get_Prim_Op_Kind), Loc), Parameter_Associations => New_List ( Tag_Node, Make_Identifier (Loc, Name_uS))))); -- Generate: -- if C = POK_Procedure -- or else C = POK_Protected_Procedure -- or else C = POK_Task_Procedure; -- then -- F := True; -- return; -- where F is the out parameter capturing the status of a potential -- entry call. Append_To (Stmts, Make_If_Statement (Loc, Condition => Make_Or_Else (Loc, Left_Opnd => Make_Op_Eq (Loc, Left_Opnd => Make_Identifier (Loc, Name_uC), Right_Opnd => New_Occurrence_Of (RTE (RE_POK_Procedure), Loc)), Right_Opnd => Make_Or_Else (Loc, Left_Opnd => Make_Op_Eq (Loc, Left_Opnd => Make_Identifier (Loc, Name_uC), Right_Opnd => New_Occurrence_Of (RTE (RE_POK_Protected_Procedure), Loc)), Right_Opnd => Make_Op_Eq (Loc, Left_Opnd => Make_Identifier (Loc, Name_uC), Right_Opnd => New_Occurrence_Of (RTE (RE_POK_Task_Procedure), Loc)))), Then_Statements => New_List ( Make_Assignment_Statement (Loc, Name => Make_Identifier (Loc, Name_uF), Expression => New_Occurrence_Of (Standard_True, Loc)), Make_Simple_Return_Statement (Loc)))); end Build_Common_Dispatching_Select_Statements; -------------- -- Build_DT -- -------------- function Build_DT (Loc : Source_Ptr; Tag_Node : Node_Id) return Node_Id is begin return Make_Function_Call (Loc, Name => New_Occurrence_Of (RTE (RE_DT), Loc), Parameter_Associations => New_List ( Unchecked_Convert_To (RTE (RE_Tag), Tag_Node))); end Build_DT; ---------------------------- -- Build_Get_Access_Level -- ---------------------------- function Build_Get_Access_Level (Loc : Source_Ptr; Tag_Node : Node_Id) return Node_Id is begin return Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_TSD (Loc, Unchecked_Convert_To (RTE (RE_Address), Tag_Node))), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Access_Level), Loc)); end Build_Get_Access_Level; ------------------------- -- Build_Get_Alignment -- ------------------------- function Build_Get_Alignment (Loc : Source_Ptr; Tag_Node : Node_Id) return Node_Id is begin return Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_TSD (Loc, Unchecked_Convert_To (RTE (RE_Address), Tag_Node))), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Alignment), Loc)); end Build_Get_Alignment; ------------------------------------------ -- Build_Get_Predefined_Prim_Op_Address -- ------------------------------------------ procedure Build_Get_Predefined_Prim_Op_Address (Loc : Source_Ptr; Position : Uint; Tag_Node : in out Node_Id; New_Node : out Node_Id) is Ctrl_Tag : Node_Id; begin Ctrl_Tag := Unchecked_Convert_To (RTE (RE_Address), Tag_Node); -- Unchecked_Convert_To relocates the controlling tag node and therefore -- we must update it. Tag_Node := Expression (Ctrl_Tag); -- Build code that retrieves the address of the dispatch table -- containing the predefined Ada primitives: -- -- Generate: -- To_Predef_Prims_Table_Ptr -- (To_Addr_Ptr (To_Address (Tag) - Predef_Prims_Offset).all); New_Node := Make_Indexed_Component (Loc, Prefix => Unchecked_Convert_To (RTE (RE_Predef_Prims_Table_Ptr), Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Addr_Ptr), Make_Function_Call (Loc, Name => Make_Expanded_Name (Loc, Chars => Name_Op_Subtract, Prefix => New_Occurrence_Of (RTU_Entity (System_Storage_Elements), Loc), Selector_Name => Make_Identifier (Loc, Name_Op_Subtract)), Parameter_Associations => New_List ( Ctrl_Tag, New_Occurrence_Of (RTE (RE_DT_Predef_Prims_Offset), Loc)))))), Expressions => New_List (Build_Val (Loc, Position))); end Build_Get_Predefined_Prim_Op_Address; ----------------------------- -- Build_Inherit_CPP_Prims -- ----------------------------- function Build_Inherit_CPP_Prims (Typ : Entity_Id) return List_Id is Loc : constant Source_Ptr := Sloc (Typ); CPP_Nb_Prims : constant Nat := CPP_Num_Prims (Typ); CPP_Table : array (1 .. CPP_Nb_Prims) of Boolean := (others => False); CPP_Typ : constant Entity_Id := Enclosing_CPP_Parent (Typ); Result : constant List_Id := New_List; Parent_Typ : constant Entity_Id := Etype (Typ); E : Entity_Id; Elmt : Elmt_Id; Parent_Tag : Entity_Id; Prim : Entity_Id; Prim_Pos : Nat; Typ_Tag : Entity_Id; begin pragma Assert (not Is_CPP_Class (Typ)); -- No code needed if this type has no primitives inherited from C++ if CPP_Nb_Prims = 0 then return Result; end if; -- Stage 1: Inherit and override C++ slots of the primary dispatch table -- Generate: -- Typ'Tag (Prim_Pos) := Prim'Unrestricted_Access; Parent_Tag := Node (First_Elmt (Access_Disp_Table (Parent_Typ))); Typ_Tag := Node (First_Elmt (Access_Disp_Table (Typ))); Elmt := First_Elmt (Primitive_Operations (Typ)); while Present (Elmt) loop Prim := Node (Elmt); E := Ultimate_Alias (Prim); Prim_Pos := UI_To_Int (DT_Position (E)); -- Skip predefined, abstract, and eliminated primitives. Skip also -- primitives not located in the C++ part of the dispatch table. if not Is_Predefined_Dispatching_Operation (Prim) and then not Is_Predefined_Dispatching_Operation (E) and then not Present (Interface_Alias (Prim)) and then not Is_Abstract_Subprogram (E) and then not Is_Eliminated (E) and then Prim_Pos <= CPP_Nb_Prims and then Find_Dispatching_Type (E) = Typ then -- Remember that this slot is used pragma Assert (CPP_Table (Prim_Pos) = False); CPP_Table (Prim_Pos) := True; Append_To (Result, Make_Assignment_Statement (Loc, Name => Make_Indexed_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Typ))), New_Occurrence_Of (Typ_Tag, Loc))), Expressions => New_List (Build_Val (Loc, UI_From_Int (Prim_Pos)))), Expression => Unchecked_Convert_To (RTE (RE_Prim_Ptr), Make_Attribute_Reference (Loc, Prefix => New_Occurrence_Of (E, Loc), Attribute_Name => Name_Unrestricted_Access)))); end if; Next_Elmt (Elmt); end loop; -- If all primitives have been overridden then there is no need to copy -- from Typ's parent its dispatch table. Otherwise, if some primitive is -- inherited from the parent we copy only the C++ part of the dispatch -- table from the parent before the assignments that initialize the -- overridden primitives. -- Generate: -- type CPP_TypG is array (1 .. CPP_Nb_Prims) ofd Prim_Ptr; -- type CPP_TypH is access CPP_TypG; -- CPP_TypG!(Typ_Tag).all := CPP_TypG!(Parent_Tag).all; -- Note: There is no need to duplicate the declarations of CPP_TypG and -- CPP_TypH because, for expansion of dispatching calls, these -- entities are stored in the last elements of Access_Disp_Table. for J in CPP_Table'Range loop if not CPP_Table (J) then Prepend_To (Result, Make_Assignment_Statement (Loc, Name => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (CPP_Typ))), New_Occurrence_Of (Typ_Tag, Loc))), Expression => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (CPP_Typ))), New_Occurrence_Of (Parent_Tag, Loc))))); exit; end if; end loop; -- Stage 2: Inherit and override C++ slots of secondary dispatch tables declare Iface : Entity_Id; Iface_Nb_Prims : Nat; Parent_Ifaces_List : Elist_Id; Parent_Ifaces_Comp_List : Elist_Id; Parent_Ifaces_Tag_List : Elist_Id; Parent_Iface_Tag_Elmt : Elmt_Id; Typ_Ifaces_List : Elist_Id; Typ_Ifaces_Comp_List : Elist_Id; Typ_Ifaces_Tag_List : Elist_Id; Typ_Iface_Tag_Elmt : Elmt_Id; begin Collect_Interfaces_Info (T => Parent_Typ, Ifaces_List => Parent_Ifaces_List, Components_List => Parent_Ifaces_Comp_List, Tags_List => Parent_Ifaces_Tag_List); Collect_Interfaces_Info (T => Typ, Ifaces_List => Typ_Ifaces_List, Components_List => Typ_Ifaces_Comp_List, Tags_List => Typ_Ifaces_Tag_List); Parent_Iface_Tag_Elmt := First_Elmt (Parent_Ifaces_Tag_List); Typ_Iface_Tag_Elmt := First_Elmt (Typ_Ifaces_Tag_List); while Present (Parent_Iface_Tag_Elmt) loop Parent_Tag := Node (Parent_Iface_Tag_Elmt); Typ_Tag := Node (Typ_Iface_Tag_Elmt); pragma Assert (Related_Type (Parent_Tag) = Related_Type (Typ_Tag)); Iface := Related_Type (Parent_Tag); Iface_Nb_Prims := UI_To_Int (DT_Entry_Count (First_Tag_Component (Iface))); if Iface_Nb_Prims > 0 then -- Update slots of overridden primitives declare Last_Nod : constant Node_Id := Last (Result); Nb_Prims : constant Nat := UI_To_Int (DT_Entry_Count (First_Tag_Component (Iface))); Elmt : Elmt_Id; Prim : Entity_Id; E : Entity_Id; Prim_Pos : Nat; Prims_Table : array (1 .. Nb_Prims) of Boolean; begin Prims_Table := (others => False); Elmt := First_Elmt (Primitive_Operations (Typ)); while Present (Elmt) loop Prim := Node (Elmt); E := Ultimate_Alias (Prim); if not Is_Predefined_Dispatching_Operation (Prim) and then Present (Interface_Alias (Prim)) and then Find_Dispatching_Type (Interface_Alias (Prim)) = Iface and then not Is_Abstract_Subprogram (E) and then not Is_Eliminated (E) and then Find_Dispatching_Type (E) = Typ then Prim_Pos := UI_To_Int (DT_Position (Prim)); -- Remember that this slot is already initialized pragma Assert (Prims_Table (Prim_Pos) = False); Prims_Table (Prim_Pos) := True; Append_To (Result, Make_Assignment_Statement (Loc, Name => Make_Indexed_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Iface))), New_Occurrence_Of (Typ_Tag, Loc))), Expressions => New_List (Build_Val (Loc, UI_From_Int (Prim_Pos)))), Expression => Unchecked_Convert_To (RTE (RE_Prim_Ptr), Make_Attribute_Reference (Loc, Prefix => New_Occurrence_Of (E, Loc), Attribute_Name => Name_Unrestricted_Access)))); end if; Next_Elmt (Elmt); end loop; -- Check if all primitives from the parent have been -- overridden (to avoid copying the whole secondary -- table from the parent). -- IfaceG!(Typ_Sec_Tag).all := IfaceG!(Parent_Sec_Tag).all; for J in Prims_Table'Range loop if not Prims_Table (J) then Insert_After (Last_Nod, Make_Assignment_Statement (Loc, Name => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Iface))), New_Occurrence_Of (Typ_Tag, Loc))), Expression => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Iface))), New_Occurrence_Of (Parent_Tag, Loc))))); exit; end if; end loop; end; end if; Next_Elmt (Typ_Iface_Tag_Elmt); Next_Elmt (Parent_Iface_Tag_Elmt); end loop; end; return Result; end Build_Inherit_CPP_Prims; ------------------------- -- Build_Inherit_Prims -- ------------------------- function Build_Inherit_Prims (Loc : Source_Ptr; Typ : Entity_Id; Old_Tag_Node : Node_Id; New_Tag_Node : Node_Id; Num_Prims : Nat) return Node_Id is begin if RTE_Available (RE_DT) then return Make_Assignment_Statement (Loc, Name => Make_Slice (Loc, Prefix => Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_DT (Loc, New_Tag_Node)), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Prims_Ptr), Loc)), Discrete_Range => Build_Range (Loc, 1, Num_Prims)), Expression => Make_Slice (Loc, Prefix => Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_DT (Loc, Old_Tag_Node)), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Prims_Ptr), Loc)), Discrete_Range => Build_Range (Loc, 1, Num_Prims))); else return Make_Assignment_Statement (Loc, Name => Make_Slice (Loc, Prefix => Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Typ))), New_Tag_Node), Discrete_Range => Build_Range (Loc, 1, Num_Prims)), Expression => Make_Slice (Loc, Prefix => Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Typ))), Old_Tag_Node), Discrete_Range => Build_Range (Loc, 1, Num_Prims))); end if; end Build_Inherit_Prims; ------------------------------- -- Build_Get_Prim_Op_Address -- ------------------------------- procedure Build_Get_Prim_Op_Address (Loc : Source_Ptr; Typ : Entity_Id; Position : Uint; Tag_Node : in out Node_Id; New_Node : out Node_Id) is New_Prefix : Node_Id; begin pragma Assert (Position <= DT_Entry_Count (First_Tag_Component (Typ))); -- At the end of the Access_Disp_Table list we have the type -- declaration required to convert the tag into a pointer to -- the prims_ptr table (see Freeze_Record_Type). New_Prefix := Unchecked_Convert_To (Node (Last_Elmt (Access_Disp_Table (Typ))), Tag_Node); -- Unchecked_Convert_To relocates the controlling tag node and therefore -- we must update it. Tag_Node := Expression (New_Prefix); New_Node := Make_Indexed_Component (Loc, Prefix => New_Prefix, Expressions => New_List (Build_Val (Loc, Position))); end Build_Get_Prim_Op_Address; ----------------------------- -- Build_Get_Transportable -- ----------------------------- function Build_Get_Transportable (Loc : Source_Ptr; Tag_Node : Node_Id) return Node_Id is begin return Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_TSD (Loc, Unchecked_Convert_To (RTE (RE_Address), Tag_Node))), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Transportable), Loc)); end Build_Get_Transportable; ------------------------------------ -- Build_Inherit_Predefined_Prims -- ------------------------------------ function Build_Inherit_Predefined_Prims (Loc : Source_Ptr; Old_Tag_Node : Node_Id; New_Tag_Node : Node_Id; Num_Predef_Prims : Nat) return Node_Id is begin return Make_Assignment_Statement (Loc, Name => Make_Slice (Loc, Prefix => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Predef_Prims_Table_Ptr), Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Addr_Ptr), New_Tag_Node)))), Discrete_Range => Build_Range (Loc, 1, Num_Predef_Prims)), Expression => Make_Slice (Loc, Prefix => Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Predef_Prims_Table_Ptr), Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Addr_Ptr), Old_Tag_Node)))), Discrete_Range => Build_Range (Loc, 1, Num_Predef_Prims))); end Build_Inherit_Predefined_Prims; ------------------------- -- Build_Offset_To_Top -- ------------------------- function Build_Offset_To_Top (Loc : Source_Ptr; This_Node : Node_Id) return Node_Id is Tag_Node : Node_Id; begin Tag_Node := Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Tag_Ptr), This_Node)); return Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Offset_To_Top_Ptr), Make_Function_Call (Loc, Name => Make_Expanded_Name (Loc, Chars => Name_Op_Subtract, Prefix => New_Occurrence_Of (RTU_Entity (System_Storage_Elements), Loc), Selector_Name => Make_Identifier (Loc, Name_Op_Subtract)), Parameter_Associations => New_List ( Unchecked_Convert_To (RTE (RE_Address), Tag_Node), New_Occurrence_Of (RTE (RE_DT_Offset_To_Top_Offset), Loc))))); end Build_Offset_To_Top; ----------------- -- Build_Range -- ----------------- function Build_Range (Loc : Source_Ptr; Lo, Hi : Nat) return Node_Id is Result : Node_Id; begin Result := Make_Range (Loc, Low_Bound => Build_Val (Loc, UI_From_Int (Lo)), High_Bound => Build_Val (Loc, UI_From_Int (Hi))); Set_Etype (Result, Standard_Natural); Set_Analyzed (Result); return Result; end Build_Range; ------------------------------------------ -- Build_Set_Predefined_Prim_Op_Address -- ------------------------------------------ function Build_Set_Predefined_Prim_Op_Address (Loc : Source_Ptr; Tag_Node : Node_Id; Position : Uint; Address_Node : Node_Id) return Node_Id is begin return Make_Assignment_Statement (Loc, Name => Make_Indexed_Component (Loc, Prefix => Unchecked_Convert_To (RTE (RE_Predef_Prims_Table_Ptr), Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Addr_Ptr), Tag_Node))), Expressions => New_List (Build_Val (Loc, Position))), Expression => Address_Node); end Build_Set_Predefined_Prim_Op_Address; ------------------------------- -- Build_Set_Prim_Op_Address -- ------------------------------- function Build_Set_Prim_Op_Address (Loc : Source_Ptr; Typ : Entity_Id; Tag_Node : Node_Id; Position : Uint; Address_Node : Node_Id) return Node_Id is Ctrl_Tag : Node_Id := Tag_Node; New_Node : Node_Id; begin Build_Get_Prim_Op_Address (Loc, Typ, Position, Ctrl_Tag, New_Node); return Make_Assignment_Statement (Loc, Name => New_Node, Expression => Address_Node); end Build_Set_Prim_Op_Address; ----------------------------- -- Build_Set_Size_Function -- ----------------------------- function Build_Set_Size_Function (Loc : Source_Ptr; Tag_Node : Node_Id; Size_Func : Entity_Id) return Node_Id is begin pragma Assert (Chars (Size_Func) = Name_uSize and then RTE_Record_Component_Available (RE_Size_Func)); return Make_Assignment_Statement (Loc, Name => Make_Selected_Component (Loc, Prefix => Make_Explicit_Dereference (Loc, Build_TSD (Loc, Unchecked_Convert_To (RTE (RE_Address), Tag_Node))), Selector_Name => New_Occurrence_Of (RTE_Record_Component (RE_Size_Func), Loc)), Expression => Unchecked_Convert_To (RTE (RE_Size_Ptr), Make_Attribute_Reference (Loc, Prefix => New_Occurrence_Of (Size_Func, Loc), Attribute_Name => Name_Unrestricted_Access))); end Build_Set_Size_Function; ------------------------------------ -- Build_Set_Static_Offset_To_Top -- ------------------------------------ function Build_Set_Static_Offset_To_Top (Loc : Source_Ptr; Iface_Tag : Node_Id; Offset_Value : Node_Id) return Node_Id is begin return Make_Assignment_Statement (Loc, Make_Explicit_Dereference (Loc, Unchecked_Convert_To (RTE (RE_Offset_To_Top_Ptr), Make_Function_Call (Loc, Name => Make_Expanded_Name (Loc, Chars => Name_Op_Subtract, Prefix => New_Occurrence_Of (RTU_Entity (System_Storage_Elements), Loc), Selector_Name => Make_Identifier (Loc, Name_Op_Subtract)), Parameter_Associations => New_List ( Unchecked_Convert_To (RTE (RE_Address), Iface_Tag), New_Occurrence_Of (RTE (RE_DT_Offset_To_Top_Offset), Loc))))), Offset_Value); end Build_Set_Static_Offset_To_Top; --------------- -- Build_TSD -- --------------- function Build_TSD (Loc : Source_Ptr; Tag_Node_Addr : Node_Id) return Node_Id is begin return Unchecked_Convert_To (RTE (RE_Type_Specific_Data_Ptr), Make_Explicit_Dereference (Loc, Prefix => Unchecked_Convert_To (RTE (RE_Addr_Ptr), Make_Function_Call (Loc, Name => Make_Expanded_Name (Loc, Chars => Name_Op_Subtract, Prefix => New_Occurrence_Of (RTU_Entity (System_Storage_Elements), Loc), Selector_Name => Make_Identifier (Loc, Name_Op_Subtract)), Parameter_Associations => New_List ( Tag_Node_Addr, New_Occurrence_Of (RTE (RE_DT_Typeinfo_Ptr_Size), Loc)))))); end Build_TSD; --------------- -- Build_Val -- --------------- function Build_Val (Loc : Source_Ptr; V : Uint) return Node_Id is Result : Node_Id; begin Result := Make_Integer_Literal (Loc, V); Set_Etype (Result, Standard_Natural); Set_Is_Static_Expression (Result); Set_Analyzed (Result); return Result; end Build_Val; end Exp_Atag;
Ada
31,651
adb
null
36.38046
79
0.507914
pragma Style_Checks (Off); -- This spec has been automatically generated from STM32G474xx.svd pragma Restrictions (No_Elaboration_Code); with HAL; with System; package STM32_SVD.NVIC is pragma Preelaborate; --------------- -- Registers -- --------------- -- IPR_IPR_N array element subtype IPR_IPR_N_Element is HAL.UInt8; -- IPR_IPR_N array type IPR_IPR_N_Field_Array is array (0 .. 3) of IPR_IPR_N_Element with Component_Size => 8, Size => 32; -- Interrupt Priority Register type IPR_Register (As_Array : Boolean := False) is record case As_Array is when False => -- IPR_N as a value Val : HAL.UInt32; when True => -- IPR_N as an array Arr : IPR_IPR_N_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for IPR_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; subtype STIR_INTID_Field is HAL.UInt9; -- Software trigger interrupt register type STIR_Register is record -- Software generated interrupt ID INTID : STIR_INTID_Field := 16#0#; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for STIR_Register use record INTID at 0 range 0 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Nested Vectored Interrupt Controller type NVIC_Peripheral is record -- Interrupt Set-Enable Register ISER0 : aliased HAL.UInt32; -- Interrupt Set-Enable Register ISER1 : aliased HAL.UInt32; -- Interrupt Set-Enable Register ISER2 : aliased HAL.UInt32; -- Interrupt Set-Enable Register ISER3 : aliased HAL.UInt32; -- Interrupt Clear-Enable Register ICER0 : aliased HAL.UInt32; -- Interrupt Clear-Enable Register ICER1 : aliased HAL.UInt32; -- Interrupt Clear-Enable Register ICER2 : aliased HAL.UInt32; -- Interrupt Clear-Enable Register ICER3 : aliased HAL.UInt32; -- Interrupt Set-Pending Register ISPR0 : aliased HAL.UInt32; -- Interrupt Set-Pending Register ISPR1 : aliased HAL.UInt32; -- Interrupt Set-Pending Register ISPR2 : aliased HAL.UInt32; -- Interrupt Set-Pending Register ISPR3 : aliased HAL.UInt32; -- Interrupt Clear-Pending Register ICPR0 : aliased HAL.UInt32; -- Interrupt Clear-Pending Register ICPR1 : aliased HAL.UInt32; -- Interrupt Clear-Pending Register ICPR2 : aliased HAL.UInt32; -- Interrupt Clear-Pending Register ICPR3 : aliased HAL.UInt32; -- Interrupt Active Bit Register IABR0 : aliased HAL.UInt32; -- Interrupt Active Bit Register IABR1 : aliased HAL.UInt32; -- Interrupt Active Bit Register IABR2 : aliased HAL.UInt32; -- Interrupt Active Bit Register IABR3 : aliased HAL.UInt32; -- Interrupt Priority Register IPR0 : aliased IPR_Register; -- Interrupt Priority Register IPR1 : aliased IPR_Register; -- Interrupt Priority Register IPR2 : aliased IPR_Register; -- Interrupt Priority Register IPR3 : aliased IPR_Register; -- Interrupt Priority Register IPR4 : aliased IPR_Register; -- Interrupt Priority Register IPR5 : aliased IPR_Register; -- Interrupt Priority Register IPR6 : aliased IPR_Register; -- Interrupt Priority Register IPR7 : aliased IPR_Register; -- Interrupt Priority Register IPR8 : aliased IPR_Register; -- Interrupt Priority Register IPR9 : aliased IPR_Register; -- Interrupt Priority Register IPR10 : aliased IPR_Register; -- Interrupt Priority Register IPR11 : aliased IPR_Register; -- Interrupt Priority Register IPR12 : aliased IPR_Register; -- Interrupt Priority Register IPR13 : aliased IPR_Register; -- Interrupt Priority Register IPR14 : aliased IPR_Register; -- Interrupt Priority Register IPR15 : aliased IPR_Register; -- Interrupt Priority Register IPR16 : aliased IPR_Register; -- Interrupt Priority Register IPR17 : aliased IPR_Register; -- Interrupt Priority Register IPR18 : aliased IPR_Register; -- Interrupt Priority Register IPR19 : aliased IPR_Register; -- Interrupt Priority Register IPR20 : aliased IPR_Register; -- Interrupt Priority Register IPR21 : aliased HAL.UInt32; -- Interrupt Priority Register IPR22 : aliased HAL.UInt32; -- Interrupt Priority Register IPR23 : aliased HAL.UInt32; -- Interrupt Priority Register IPR24 : aliased HAL.UInt32; -- Interrupt Priority Register IPR25 : aliased HAL.UInt32; end record with Volatile; for NVIC_Peripheral use record ISER0 at 16#0# range 0 .. 31; ISER1 at 16#4# range 0 .. 31; ISER2 at 16#8# range 0 .. 31; ISER3 at 16#C# range 0 .. 31; ICER0 at 16#80# range 0 .. 31; ICER1 at 16#84# range 0 .. 31; ICER2 at 16#88# range 0 .. 31; ICER3 at 16#8C# range 0 .. 31; ISPR0 at 16#100# range 0 .. 31; ISPR1 at 16#104# range 0 .. 31; ISPR2 at 16#108# range 0 .. 31; ISPR3 at 16#10C# range 0 .. 31; ICPR0 at 16#180# range 0 .. 31; ICPR1 at 16#184# range 0 .. 31; ICPR2 at 16#188# range 0 .. 31; ICPR3 at 16#18C# range 0 .. 31; IABR0 at 16#200# range 0 .. 31; IABR1 at 16#204# range 0 .. 31; IABR2 at 16#208# range 0 .. 31; IABR3 at 16#20C# range 0 .. 31; IPR0 at 16#300# range 0 .. 31; IPR1 at 16#304# range 0 .. 31; IPR2 at 16#308# range 0 .. 31; IPR3 at 16#30C# range 0 .. 31; IPR4 at 16#310# range 0 .. 31; IPR5 at 16#314# range 0 .. 31; IPR6 at 16#318# range 0 .. 31; IPR7 at 16#31C# range 0 .. 31; IPR8 at 16#320# range 0 .. 31; IPR9 at 16#324# range 0 .. 31; IPR10 at 16#328# range 0 .. 31; IPR11 at 16#32C# range 0 .. 31; IPR12 at 16#330# range 0 .. 31; IPR13 at 16#334# range 0 .. 31; IPR14 at 16#338# range 0 .. 31; IPR15 at 16#33C# range 0 .. 31; IPR16 at 16#340# range 0 .. 31; IPR17 at 16#344# range 0 .. 31; IPR18 at 16#348# range 0 .. 31; IPR19 at 16#34C# range 0 .. 31; IPR20 at 16#350# range 0 .. 31; IPR21 at 16#354# range 0 .. 31; IPR22 at 16#358# range 0 .. 31; IPR23 at 16#35C# range 0 .. 31; IPR24 at 16#360# range 0 .. 31; IPR25 at 16#364# range 0 .. 31; end record; -- Nested Vectored Interrupt Controller NVIC_Periph : aliased NVIC_Peripheral with Import, Address => NVIC_Base; -- Nested vectored interrupt controller type NVIC_STIR_Peripheral is record -- Software trigger interrupt register STIR : aliased STIR_Register; end record with Volatile; for NVIC_STIR_Peripheral use record STIR at 0 range 0 .. 31; end record; -- Nested vectored interrupt controller NVIC_STIR_Periph : aliased NVIC_STIR_Peripheral with Import, Address => NVIC_STIR_Base; end STM32_SVD.NVIC;
Ada
7,528
ads
null
32.448276
79
0.615436
----------------------------------------------------------------------- -- util-serialize-io -- IO Drivers for serialization -- Copyright (C) 2010, 2011 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Containers; with Ada.Strings.Unbounded; with Util.Beans.Objects; with Util.Streams; with Util.Streams.Buffered; with Util.Serialize.Contexts; with Util.Serialize.Mappers; with Util.Log.Loggers; with Util.Stacks; package Util.Serialize.IO is Parse_Error : exception; -- ------------------------------ -- Output stream for serialization -- ------------------------------ -- The <b>Output_Stream</b> interface defines the abstract operations for -- the serialization framework to write objects on the stream according to -- a target format such as XML or JSON. type Output_Stream is limited interface and Util.Streams.Output_Stream; procedure Start_Entity (Stream : in out Output_Stream; Name : in String) is null; procedure End_Entity (Stream : in out Output_Stream; Name : in String) is null; procedure Write_Attribute (Stream : in out Output_Stream; Name : in String; Value : in Util.Beans.Objects.Object) is abstract; procedure Write_Entity (Stream : in out Output_Stream; Name : in String; Value : in Util.Beans.Objects.Object) is abstract; procedure Start_Array (Stream : in out Output_Stream; Length : in Ada.Containers.Count_Type) is null; procedure End_Array (Stream : in out Output_Stream) is null; type Parser is abstract new Util.Serialize.Contexts.Context with private; -- Parse the stream using the JSON parser. procedure Parse (Handler : in out Parser; Stream : in out Util.Streams.Buffered.Buffered_Stream'Class) is abstract; -- Read the file and parse it using the parser. procedure Parse (Handler : in out Parser; File : in String); -- Parse the content string. procedure Parse_String (Handler : in out Parser; Content : in String); -- Returns true if the <b>Parse</b> operation detected at least one error. function Has_Error (Handler : in Parser) return Boolean; -- Set the error logger to report messages while parsing and reading the input file. procedure Set_Logger (Handler : in out Parser; Logger : in Util.Log.Loggers.Logger_Access); -- Start a new object associated with the given name. This is called when -- the '{' is reached. The reader must be updated so that the next -- <b>Set_Member</b> procedure will associate the name/value pair on the -- new object. procedure Start_Object (Handler : in out Parser; Name : in String); -- Finish an object associated with the given name. The reader must be -- updated to be associated with the previous object. procedure Finish_Object (Handler : in out Parser; Name : in String); procedure Start_Array (Handler : in out Parser; Name : in String); procedure Finish_Array (Handler : in out Parser; Name : in String); -- Set the name/value pair on the current object. For each active mapping, -- find whether a rule matches our name and execute it. procedure Set_Member (Handler : in out Parser; Name : in String; Value : in Util.Beans.Objects.Object; Attribute : in Boolean := False); -- Get the current location (file and line) to report an error message. function Get_Location (Handler : in Parser) return String; -- Report an error while parsing the input stream. The error message will be reported -- on the logger associated with the parser. The parser will be set as in error so that -- the <b>Has_Error</b> function will return True after parsing the whole file. procedure Error (Handler : in out Parser; Message : in String); procedure Add_Mapping (Handler : in out Parser; Path : in String; Mapper : in Util.Serialize.Mappers.Mapper_Access); -- Dump the mapping tree on the logger using the INFO log level. procedure Dump (Handler : in Parser'Class; Logger : in Util.Log.Loggers.Logger'Class); private -- Implementation limitation: the max number of active mapping nodes MAX_NODES : constant Positive := 10; type Mapper_Access_Array is array (1 .. MAX_NODES) of Serialize.Mappers.Mapper_Access; procedure Push (Handler : in out Parser); -- Pop the context and restore the previous context when leaving an element procedure Pop (Handler : in out Parser); function Find_Mapper (Handler : in Parser; Name : in String) return Util.Serialize.Mappers.Mapper_Access; type Element_Context is record -- The object mapper being process. Object_Mapper : Util.Serialize.Mappers.Mapper_Access; -- The active mapping nodes. Active_Nodes : Mapper_Access_Array; end record; type Element_Context_Access is access all Element_Context; package Context_Stack is new Util.Stacks (Element_Type => Element_Context, Element_Type_Access => Element_Context_Access); type Parser is abstract new Util.Serialize.Contexts.Context with record Error_Flag : Boolean := False; Stack : Context_Stack.Stack; Mapping_Tree : aliased Mappers.Mapper; Current_Mapper : Util.Serialize.Mappers.Mapper_Access; -- The file name to use when reporting errors. File : Ada.Strings.Unbounded.Unbounded_String; -- The logger which is used to report error messages when parsing an input file. Error_Logger : Util.Log.Loggers.Logger_Access := null; end record; end Util.Serialize.IO;
Ada
6,812
ads
null
41.791411
94
0.633294
-- Copyright (c) 2021 Devin Hill -- zlib License -- see LICENSE for details. with GBA.BIOS.Raw.Thumb; with GBA.BIOS.Extended_Interface; package GBA.BIOS.Thumb is new GBA.BIOS.Extended_Interface (GBA.BIOS.Raw.Thumb.Generic_Interface);
Ada
239
ads
7
23.9
73
0.76569
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . V A L _ L L U -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2019, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains routines for scanning modular Long_Long_Unsigned -- values for use in Text_IO.Modular_IO, and the Value attribute. with System.Unsigned_Types; package System.Val_LLU is pragma Pure; function Scan_Raw_Long_Long_Unsigned (Str : String; Ptr : not null access Integer; Max : Integer) return System.Unsigned_Types.Long_Long_Unsigned; -- This function scans the string starting at Str (Ptr.all) for a valid -- integer according to the syntax described in (RM 3.5(43)). The substring -- scanned extends no further than Str (Max). Note: this does not scan -- leading or trailing blanks, nor leading sign. -- -- There are three cases for the return: -- -- If a valid integer is found, then Ptr.all is updated past the last -- character of the integer. -- -- If no valid integer is found, then Ptr.all points either to an initial -- non-digit character, or to Max + 1 if the field is all spaces and the -- exception Constraint_Error is raised. -- -- If a syntactically valid integer is scanned, but the value is out of -- range, or, in the based case, the base value is out of range or there -- is an out of range digit, then Ptr.all points past the integer, and -- Constraint_Error is raised. -- -- Note: these rules correspond to the requirements for leaving the pointer -- positioned in Text_IO.Get. Note that the rules as stated in the RM would -- seem to imply that for a case like: -- -- 8#12345670009# -- -- the pointer should be left at the first # having scanned out the longest -- valid integer literal (8), but in fact in this case the pointer points -- past the final # and Constraint_Error is raised. This is the behavior -- expected for Text_IO and enforced by the ACATS tests. -- -- If a based literal is malformed in that a character other than a valid -- hexadecimal digit is encountered during scanning out the digits after -- the # (this includes the case of using the wrong terminator, : instead -- of # or vice versa) there are two cases. If all the digits before the -- non-digit are in range of the base, as in -- -- 8#100x00# -- 8#100: -- -- then in this case, the "base" value before the initial # is returned as -- the result, and the pointer points to the initial # character on return. -- -- If an out of range digit has been detected before the invalid character, -- as in: -- -- 8#900x00# -- 8#900: -- -- then the pointer is also left at the initial # character, but constraint -- error is raised reflecting the encounter of an out of range digit. -- -- Finally if we have an unterminated fixed-point constant where the final -- # or : character is missing, Constraint_Error is raised and the pointer -- is left pointing past the last digit, as in: -- -- 8#22 -- -- This string results in a Constraint_Error with the pointer pointing -- past the second 2. -- -- Note: if Str is empty, i.e. if Max is less than Ptr, then this is a -- special case of an all-blank string, and Ptr is unchanged, and hence -- is greater than Max as required in this case. -- -- Note: this routine should not be called with Str'Last = Positive'Last. -- If this occurs Program_Error is raised with a message noting that this -- case is not supported. Most such cases are eliminated by the caller. function Scan_Long_Long_Unsigned (Str : String; Ptr : not null access Integer; Max : Integer) return System.Unsigned_Types.Long_Long_Unsigned; -- Same as Scan_Raw_Long_Long_Unsigned, except scans optional leading -- blanks, and an optional leading plus sign. -- -- Note: if a minus sign is present, Constraint_Error will be raised. -- Note: trailing blanks are not scanned. function Value_Long_Long_Unsigned (Str : String) return System.Unsigned_Types.Long_Long_Unsigned; -- Used in computing X'Value (Str) where X is a modular integer type whose -- modulus exceeds the range of System.Unsigned_Types.Unsigned. Str is the -- string argument of the attribute. Constraint_Error is raised if the -- string is malformed, or if the value is out of range. end System.Val_LLU;
Ada
6,714
ads
null
51.646154
79
0.574322
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding Samples -- -- -- -- ncurses -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 2000-2011,2014 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Eugene V. Melaragno <aldomel@ix.netcom.com> 2000 -- Version Control -- $Revision: 1.6 $ -- $Date: 2014/09/13 19:10:18 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ with ncurses2.util; use ncurses2.util; with Terminal_Interface.Curses; use Terminal_Interface.Curses; -- test effects of overlapping windows procedure ncurses2.overlap_test is procedure fillwin (win : Window; ch : Character); procedure crosswin (win : Window; ch : Character); procedure fillwin (win : Window; ch : Character) is y1 : Line_Position; x1 : Column_Position; begin Get_Size (win, y1, x1); for y in 0 .. y1 - 1 loop Move_Cursor (win, y, 0); for x in 0 .. x1 - 1 loop Add (win, Ch => ch); end loop; end loop; exception when Curses_Exception => null; -- write to lower right corner end fillwin; procedure crosswin (win : Window; ch : Character) is y1 : Line_Position; x1 : Column_Position; begin Get_Size (win, y1, x1); for y in 0 .. y1 - 1 loop for x in 0 .. x1 - 1 loop if ((x > (x1 - 1) / 3) and (x <= (2 * (x1 - 1)) / 3)) or (((y > (y1 - 1) / 3) and (y <= (2 * (y1 - 1)) / 3))) then Move_Cursor (win, y, x); Add (win, Ch => ch); end if; end loop; end loop; end crosswin; -- In a 24x80 screen like some xterms are, the instructions will -- be overwritten. ch : Character; win1 : Window := New_Window (9, 20, 3, 3); win2 : Window := New_Window (9, 20, 9, 16); begin Set_Raw_Mode (SwitchOn => True); Refresh; Move_Cursor (Line => 0, Column => 0); Add (Str => "This test shows the behavior of wnoutrefresh() with " & "respect to"); Add (Ch => newl); Add (Str => "the shared region of two overlapping windows A and B. "& "The cross"); Add (Ch => newl); Add (Str => "pattern in each window does not overlap the other."); Add (Ch => newl); Move_Cursor (Line => 18, Column => 0); Add (Str => "a = refresh A, then B, then doupdate. b = refresh B, " & "then A, then doupdate"); Add (Ch => newl); Add (Str => "c = fill window A with letter A. d = fill window B " & "with letter B."); Add (Ch => newl); Add (Str => "e = cross pattern in window A. f = cross pattern " & "in window B."); Add (Ch => newl); Add (Str => "g = clear window A. h = clear window B."); Add (Ch => newl); Add (Str => "i = overwrite A onto B. j = overwrite " & "B onto A."); Add (Ch => newl); Add (Str => "^Q/ESC = terminate test."); loop ch := Code_To_Char (Getchar); exit when ch = CTRL ('Q') or ch = CTRL ('['); -- QUIT or ESCAPE case ch is when 'a' => -- refresh window A first, then B Refresh_Without_Update (win1); Refresh_Without_Update (win2); Update_Screen; when 'b' => -- refresh window B first, then A Refresh_Without_Update (win2); Refresh_Without_Update (win1); Update_Screen; when 'c' => -- fill window A so it's visible fillwin (win1, 'A'); when 'd' => -- fill window B so it's visible fillwin (win2, 'B'); when 'e' => -- cross test pattern in window A crosswin (win1, 'A'); when 'f' => -- cross test pattern in window B crosswin (win2, 'B'); when 'g' => -- clear window A Clear (win1); Move_Cursor (win1, 0, 0); when 'h' => -- clear window B Clear (win2); Move_Cursor (win2, 0, 0); when 'i' => -- overwrite A onto B Overwrite (win1, win2); when 'j' => -- overwrite B onto A Overwrite (win2, win1); when others => null; end case; end loop; Delete (win2); Delete (win1); Erase; End_Windows; end ncurses2.overlap_test;
Ada
6,877
adb
null
43.251572
78
0.470554
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . W I D E _ W I D E _ T E X T _ IO . C O M P L E X _ I O -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2009, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Wide_Wide_Text_IO.Complex_Aux; with System.WCh_Con; use System.WCh_Con; with System.WCh_WtS; use System.WCh_WtS; with Ada.Unchecked_Conversion; package body Ada.Wide_Wide_Text_IO.Complex_IO is package Aux renames Ada.Wide_Wide_Text_IO.Complex_Aux; subtype LLF is Long_Long_Float; -- Type used for calls to routines in Aux function TFT is new Ada.Unchecked_Conversion (File_Type, Ada.Wide_Wide_Text_IO.File_Type); -- This unchecked conversion is to get around a visibility bug in -- GNAT version 2.04w. It should be possible to simply use the -- subtype declared above and do normal checked conversions. --------- -- Get -- --------- procedure Get (File : File_Type; Item : out Complex; Width : Field := 0) is Real_Item : Real'Base; Imag_Item : Real'Base; begin Aux.Get (TFT (File), LLF (Real_Item), LLF (Imag_Item), Width); Item := (Real_Item, Imag_Item); exception when Constraint_Error => raise Data_Error; end Get; --------- -- Get -- --------- procedure Get (Item : out Complex; Width : Field := 0) is begin Get (Current_Input, Item, Width); end Get; --------- -- Get -- --------- procedure Get (From : Wide_Wide_String; Item : out Complex; Last : out Positive) is Real_Item : Real'Base; Imag_Item : Real'Base; S : constant String := Wide_Wide_String_To_String (From, WCEM_Upper); -- String on which we do the actual conversion. Note that the method -- used for wide character encoding is irrelevant, since if there is -- a character outside the Standard.Character range then the call to -- Aux.Gets will raise Data_Error in any case. begin Aux.Gets (S, LLF (Real_Item), LLF (Imag_Item), Last); Item := (Real_Item, Imag_Item); exception when Data_Error => raise Constraint_Error; end Get; --------- -- Put -- --------- procedure Put (File : File_Type; Item : Complex; Fore : Field := Default_Fore; Aft : Field := Default_Aft; Exp : Field := Default_Exp) is begin Aux.Put (TFT (File), LLF (Re (Item)), LLF (Im (Item)), Fore, Aft, Exp); end Put; --------- -- Put -- --------- procedure Put (Item : Complex; Fore : Field := Default_Fore; Aft : Field := Default_Aft; Exp : Field := Default_Exp) is begin Put (Current_Output, Item, Fore, Aft, Exp); end Put; --------- -- Put -- --------- procedure Put (To : out Wide_Wide_String; Item : Complex; Aft : Field := Default_Aft; Exp : Field := Default_Exp) is S : String (To'First .. To'Last); begin Aux.Puts (S, LLF (Re (Item)), LLF (Im (Item)), Aft, Exp); for J in S'Range loop To (J) := Wide_Wide_Character'Val (Character'Pos (S (J))); end loop; end Put; end Ada.Wide_Wide_Text_IO.Complex_IO;
Ada
5,384
adb
7
33.65
78
0.494056
-- REST API Validation -- API to validate -- -- The version of the OpenAPI document: 1.0.0 -- Contact: Stephane.Carrez@gmail.com -- -- NOTE: This package is auto generated by OpenAPI-Generator 6.0.0-SNAPSHOT. -- https://openapi-generator.tech -- Do not edit the class manually. pragma Warnings (Off, "*is not referenced"); pragma Warnings (Off, "*no entities of*are referenced"); with Swagger.Servers; with TestAPI.Models; with Security.Permissions; package TestAPI.Skeletons is pragma Style_Checks ("-mr"); pragma Warnings (Off, "*use clause for package*"); use TestAPI.Models; type Server_Type is limited interface; -- Update a ticket package ACL_Write_Ticket is new Security.Permissions.Definition ("write:ticket"); -- Read a ticket package ACL_Read_Ticket is new Security.Permissions.Definition ("read:ticket"); -- -- Query an orchestrated service instance procedure Orch_Store (Server : in out Server_Type; Inline_Object_3Type : in InlineObject3_Type; Context : in out Swagger.Servers.Context_Type) is abstract; -- procedure Test_Text_Response (Server : in out Server_Type; Options : in Swagger.Nullable_UString; Result : out Swagger.UString; Context : in out Swagger.Servers.Context_Type) is abstract; -- Create a ticket procedure Do_Create_Ticket (Server : in out Server_Type; Title : in Swagger.UString; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Context : in out Swagger.Servers.Context_Type) is abstract; -- Delete a ticket procedure Do_Delete_Ticket (Server : in out Server_Type; Tid : in Swagger.Long; Context : in out Swagger.Servers.Context_Type) is abstract; -- List the tickets procedure Do_Head_Ticket (Server : in out Server_Type ; Context : in out Swagger.Servers.Context_Type) is abstract; -- Patch a ticket procedure Do_Patch_Ticket (Server : in out Server_Type; Tid : in Swagger.Long; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Title : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type) is abstract; -- Update a ticket procedure Do_Update_Ticket (Server : in out Server_Type; Tid : in Swagger.Long; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Title : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type) is abstract; -- Get a ticket -- Get a ticket procedure Do_Get_Ticket (Server : in out Server_Type; Tid : in Swagger.Long; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type) is abstract; -- List the tickets -- List the tickets created for the project. procedure Do_List_Tickets (Server : in out Server_Type; Status : in Swagger.Nullable_UString; Owner : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type_Vectors.Vector; Context : in out Swagger.Servers.Context_Type) is abstract; -- Get a ticket -- Get a ticket procedure Do_Options_Ticket (Server : in out Server_Type; Tid : in Swagger.Long; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type) is abstract; generic type Implementation_Type is limited new Server_Type with private; URI_Prefix : String := ""; package Skeleton is procedure Register (Server : in out Swagger.Servers.Application_Type'Class); -- procedure Orch_Store (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- procedure Test_Text_Response (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Create a ticket procedure Do_Create_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Delete a ticket procedure Do_Delete_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_Head_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Patch a ticket procedure Do_Patch_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Update a ticket procedure Do_Update_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Get_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_List_Tickets (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Options_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); end Skeleton; generic type Implementation_Type is limited new Server_Type with private; URI_Prefix : String := ""; package Shared_Instance is procedure Register (Server : in out Swagger.Servers.Application_Type'Class); -- procedure Orch_Store (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- procedure Test_Text_Response (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Create a ticket procedure Do_Create_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Delete a ticket procedure Do_Delete_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_Head_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Patch a ticket procedure Do_Patch_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Update a ticket procedure Do_Update_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Get_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_List_Tickets (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Options_Ticket (Req : in out Swagger.Servers.Request'Class; Reply : in out Swagger.Servers.Response'Class; Stream : in out Swagger.Servers.Output_Stream'Class; Context : in out Swagger.Servers.Context_Type); private protected Server is -- procedure Orch_Store (Inline_Object_3Type : in InlineObject3_Type; Context : in out Swagger.Servers.Context_Type); -- procedure Test_Text_Response (Options : in Swagger.Nullable_UString; Result : out Swagger.UString; Context : in out Swagger.Servers.Context_Type); -- Create a ticket procedure Do_Create_Ticket (Title : in Swagger.UString; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Context : in out Swagger.Servers.Context_Type); -- Delete a ticket procedure Do_Delete_Ticket (Tid : in Swagger.Long; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_Head_Ticket (Context : in out Swagger.Servers.Context_Type); -- Patch a ticket procedure Do_Patch_Ticket (Tid : in Swagger.Long; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Title : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type); -- Update a ticket procedure Do_Update_Ticket (Tid : in Swagger.Long; Owner : in Swagger.Nullable_UString; Status : in Swagger.Nullable_UString; Title : in Swagger.Nullable_UString; Description : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Get_Ticket (Tid : in Swagger.Long; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type); -- List the tickets procedure Do_List_Tickets (Status : in Swagger.Nullable_UString; Owner : in Swagger.Nullable_UString; Result : out TestAPI.Models.Ticket_Type_Vectors.Vector; Context : in out Swagger.Servers.Context_Type); -- Get a ticket procedure Do_Options_Ticket (Tid : in Swagger.Long; Result : out TestAPI.Models.Ticket_Type; Context : in out Swagger.Servers.Context_Type); private Impl : Implementation_Type; end Server; end Shared_Instance; end TestAPI.Skeletons;
Ada
12,787
ads
null
35.129121
84
0.640885
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2015, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of STMicroelectronics nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- -- -- This file is based on: -- -- -- -- @file stm32f4xx_hal_dac.h and stm32f4xx_hal_dac_ex.h -- -- @author MCD Application Team -- -- @version V1.3.1 -- -- @date 25-March-2015 -- -- @brief Header file of DAC HAL module. -- -- -- -- COPYRIGHT(c) 2014 STMicroelectronics -- ------------------------------------------------------------------------------ -- This file provides interfaces for the digital-to-analog converters on the -- STM32F4 (ARM Cortex M4F) microcontrollers from ST Microelectronics. with System; use System; private with STM32_SVD.DAC; package STM32.DAC is type Digital_To_Analog_Converter is limited private; type DAC_Channel is (Channel_1, Channel_2); for DAC_Channel use (Channel_1 => 1, Channel_2 => 2); -- Note that Channel 1 is tied to GPIO pin PA4, and Channel 2 to PA5 procedure Enable (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Inline, Post => Enabled (This, Channel); -- Powers up the channel. The channel is then enabled after a startup -- time "Twakeup" specified in the datasheet. -- -- NB: When no hardware trigger has been selected, the value in the -- DAC_DHRx register is transfered automatically to the DOR register. -- Therefore, in that case enabling the channel starts the output -- conversion on that channel. See the RM, section 14.3.4 "DAC -- conversion" second and third paragraphs. procedure Disable (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Inline, Post => not Enabled (This, Channel); -- When the software trigger has been selected, disabling the channel stops -- the output conversion on that channel. function Enabled (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return Boolean; type DAC_Resolution is (DAC_Resolution_12_Bits, DAC_Resolution_8_Bits); Max_12bit_Resolution : constant := 16#0FFF#; Max_8bit_Resolution : constant := 16#00FF#; type Data_Alignment is (Left_Aligned, Right_Aligned); procedure Set_Output (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel; Value : UInt32; Resolution : DAC_Resolution; Alignment : Data_Alignment); -- For the specified channel, writes the output Value to the data holding -- register within This corresponding to the Resolution and Alignment. -- -- The output voltage = ((Value / Max_nbit_Counts) * VRef+), where VRef+ is -- the reference input voltage and the 'n' of Max_nbit_Counts is either 12 -- or 8. procedure Trigger_Conversion_By_Software (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Pre => Trigger_Selection (This, Channel) = Software_Trigger and Trigger_Enabled (This, Channel); -- Cause the conversion to occur and the output to appear, per 14.3.6 "DAC -- trigger selection" in the RM. This routine is needed when the Software -- Trigger has been selected and the trigger has been enabled, otherwise no -- conversion occurs. If you don't enable the trigger any prior selection -- has no effect, but note that when no *hardware* trigger is selected the -- output happens automatically when the channel is enabled. See the RM, -- section 14.3.4 "DAC conversion" second and third paragraphs. procedure Trigger_Conversion_By_Software (This : in out Digital_To_Analog_Converter); function Converted_Output_Value (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return UInt32; -- Returns the latest output value for the specified channel. procedure Set_Dual_Output_Voltages (This : in out Digital_To_Analog_Converter; Channel_1_Value : UInt32; Channel_2_Value : UInt32; Resolution : DAC_Resolution; Alignment : Data_Alignment); type Dual_Channel_Output is record Channel_1_Data : UInt16; Channel_2_Data : UInt16; end record; function Converted_Dual_Output_Value (This : Digital_To_Analog_Converter) return Dual_Channel_Output; -- Returns the combination of the latest output values for both channels. type External_Event_Trigger_Selection is (Software_Trigger, Dac_Chx_Trig1, Dac_Chx_Trig2, Dac_Chx_Trig3, Dac_Chx_Trig4, Dac_Chx_Trig5, Dac_Chx_Trig6, Dac_Chx_Trig7, Dac_Chx_Trig8, Dac_Chx_Trig9, Dac_Chx_Trig10, Dac_Chx_Trig11, Dac_Chx_Trig12, Dac_Chx_Trig13, Dac_Chx_Trig14, Dac_Chx_Trig15 ) with Size => 4; procedure Select_Trigger (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel; Trigger : External_Event_Trigger_Selection) with Pre => not Trigger_Enabled (This, Channel), -- per note in RM, pg 435 Post => Trigger_Selection (This, Channel) = Trigger and not Trigger_Enabled (This, Channel); -- If the software trigger is selected, output conversion starts once the -- channel is enabled. function Trigger_Selection (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return External_Event_Trigger_Selection; procedure Enable_Trigger (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Post => Trigger_Enabled (This, Channel); procedure Disable_Trigger (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Post => not Trigger_Enabled (This, Channel); function Trigger_Enabled (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return Boolean; procedure Enable_DMA (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Post => DMA_Enabled (This, Channel); procedure Disable_DMA (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Post => not DMA_Enabled (This, Channel); function DMA_Enabled (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return Boolean; type DAC_Status_Flag is (DMA_Underrun_Channel_1, DMA_Underrun_Channel_2); -- For the indicated channel, the currently selected trigger is driving the -- channel conversion at a frequency higher than the DMA service capability -- rate function Status (This : Digital_To_Analog_Converter; Flag : DAC_Status_Flag) return Boolean; procedure Clear_Status (This : in out Digital_To_Analog_Converter; Flag : DAC_Status_Flag) with Inline, Post => not Status (This, Flag); type DAC_Interrupts is (DMA_Underrun_Channel_1, DMA_Underrun_Channel_2); procedure Enable_Interrupts (This : in out Digital_To_Analog_Converter; Source : DAC_Interrupts) with Inline, Post => Interrupt_Enabled (This, Source); procedure Disable_Interrupts (This : in out Digital_To_Analog_Converter; Source : DAC_Interrupts) with Inline, Post => not Interrupt_Enabled (This, Source); function Interrupt_Enabled (This : Digital_To_Analog_Converter; Source : DAC_Interrupts) return Boolean with Inline; function Interrupt_Source (This : Digital_To_Analog_Converter) return DAC_Interrupts with Inline; procedure Clear_Interrupt_Pending (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel) with Inline; type Wave_Generation_Selection is (No_Wave_Generation, Noise_Wave, Triangle_Wave); type Noise_Wave_Mask_Selection is (LFSR_Unmask_Bit0, LFSR_Unmask_Bits1_0, LFSR_Unmask_Bits2_0, LFSR_Unmask_Bits3_0, LFSR_Unmask_Bits4_0, LFSR_Unmask_Bits5_0, LFSR_Unmask_Bits6_0, LFSR_Unmask_Bits7_0, LFSR_Unmask_Bits8_0, LFSR_Unmask_Bits9_0, LFSR_Unmask_Bits10_0, LFSR_Unmask_Bits11_0); -- Unmask LFSR bits for noise wave generation type Triangle_Wave_Amplitude_Selection is (Triangle_Amplitude_1, -- Select max triangle amplitude of 1 Triangle_Amplitude_3, -- Select max triangle amplitude of 3 Triangle_Amplitude_7, -- Select max triangle amplitude of 7 Triangle_Amplitude_15, -- Select max triangle amplitude of 15 Triangle_Amplitude_31, -- Select max triangle amplitude of 31 Triangle_Amplitude_63, -- Select max triangle amplitude of 63 Triangle_Amplitude_127, -- Select max triangle amplitude of 127 Triangle_Amplitude_255, -- Select max triangle amplitude of 255 Triangle_Amplitude_511, -- Select max triangle amplitude of 511 Triangle_Amplitude_1023, -- Select max triangle amplitude of 1023 Triangle_Amplitude_2047, -- Select max triangle amplitude of 2047 Triangle_Amplitude_4095); -- Select max triangle amplitude of 4095 type Wave_Generation (Kind : Wave_Generation_Selection) is record case Kind is when No_Wave_Generation => null; when Noise_Wave => Mask : Noise_Wave_Mask_Selection; when Triangle_Wave => Amplitude : Triangle_Wave_Amplitude_Selection; end case; end record; Wave_Generation_Disabled : constant Wave_Generation := (Kind => No_Wave_Generation); procedure Select_Wave_Generation (This : in out Digital_To_Analog_Converter; Channel : DAC_Channel; Selection : Wave_Generation) with Post => Selected_Wave_Generation (This, Channel) = Selection; function Selected_Wave_Generation (This : Digital_To_Analog_Converter; Channel : DAC_Channel) return Wave_Generation; function Data_Address (This : Digital_To_Analog_Converter; Channel : DAC_Channel; Resolution : DAC_Resolution; Alignment : Data_Alignment) return Address; -- Returns the address of the Data Holding register within This, for the -- specified Channel, at the specified Resolution and Alignment. -- -- This function is stricly for use with DMA, all others use the API above. private type Digital_To_Analog_Converter is new STM32_SVD.DAC.DAC_Peripheral; end STM32.DAC;
Ada
13,192
ads
2
38.686217
79
0.630988
with Ada.Text_IO; use Ada.Text_IO; procedure adademo2 is type Day_type is range 1 .. 31; type Month_type is range 1 .. 12; type Year_type is range 1800 .. 2100; type Hours is mod 24; type Weekday is (Monday, Tuesday, Wednesday, Thursday, Friday, Saturday, Sunday); type Date is record Day : Day_type; Month : Month_type; Year : Year_type; end record; subtype Working_Hours is Hours range 0 .. 12; -- at most 12 Hours to work a day subtype Working_Day is Weekday range Monday .. Friday; -- Days to work --begin --Work_Load: constant array(Working_Day) of Working_Hours -- implicit type declaration -- := (Friday => 6, Monday => 4, others => 10); -- lookup table for working hours with initialization -- while a is not equal to b, loop. --while a /= b loop -- Ada.Text_IO.Put_Line ("Waiting"); --end loop; --make into a procedure or function --if a > b then -- Ada.Text_IO.Put_Line ("Condition met"); --else -- Ada.Text_IO.Put_Line ("Condition not met"); --end if; begin --loop -- a := a + 1; how to define a -- exit when a = 10; --end loop; for aWeekday in Weekday'Range loop -- loop over an enumeration Put_Line (Weekday'Image (aWeekday)); -- output string representation of an enumeration if aWeekday in Working_Day then -- check of a subtype of an enumeration Put_Line (" to work for "); --& -- Working_Hours'Image (Work_Load(aWeekday)) ); -- access into a lookup table end if; end loop; end adademo2;
Ada
1,638
adb
1
29.781818
113
0.614164
-- Copyright (C) 2019 Thierry Rascle <thierr26@free.fr> -- MIT license. Please refer to the LICENSE file. generic type Parent is abstract new Test_Event_Base with private; package Apsepp.Test_Event_Class.Generic_R_Index_Mixin is type Child_W_R_Index is new Parent with private with Type_Invariant'Class => Child_W_R_Index.Has_R_Index; overriding procedure Set (Obj : in out Child_W_R_Index; Data : Test_Event_Data); overriding function Has_R_Index (Obj : Child_W_R_Index) return Boolean is (True); overriding function R_Index (Obj : Child_W_R_Index) return Test_Routine_Index; private type Child_W_R_Index is new Parent with record R_Index : Test_Routine_Index; end record; end Apsepp.Test_Event_Class.Generic_R_Index_Mixin;
Ada
780
ads
null
26.896552
72
0.769231
-- CC3120A.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- CHECK THAT GENERIC IN PARAMETERS ARE ALWAYS COPIED, AND THAT -- GENERIC IN OUT PARAMETERS ARE ALWAYS RENAMED. -- DAT 8/10/81 -- SPS 10/21/82 WITH REPORT; USE REPORT; PROCEDURE CC3120A IS BEGIN TEST ("CC3120A", "GENERIC IN PARMS ARE COPIED, GENERIC IN OUT" & " PARMS ARE RENAMED"); DECLARE S1, S2 : INTEGER; A1, A2, A3 : STRING (1 .. IDENT_INT (3)); TYPE REC IS RECORD C1, C2 : INTEGER := 1; END RECORD; R1, R2 : REC; PACKAGE P IS TYPE PRIV IS PRIVATE; PROCEDURE SET_PRIV (P : IN OUT PRIV); PRIVATE TYPE PRIV IS NEW REC; END P; USE P; P1, P2 : PRIV; EX : EXCEPTION; GENERIC TYPE T IS PRIVATE; P1 : IN OUT T; P2 : IN T; PROCEDURE GP; B_ARR : ARRAY (1..10) OF BOOLEAN; PACKAGE BODY P IS PROCEDURE SET_PRIV (P : IN OUT PRIV) IS BEGIN P.C1 := 3; END SET_PRIV; END P; PROCEDURE GP IS BEGIN IF P1 = P2 THEN FAILED ("PARAMETER SCREW_UP SOMEWHERE"); END IF; P1 := P2; IF P1 /= P2 THEN FAILED ("ASSIGNMENT SCREW_UP SOMEWHERE"); END IF; RAISE EX; FAILED ("RAISE STATEMENT DOESN'T WORK"); END GP; BEGIN S1 := 4; S2 := 5; A1 := "XYZ"; A2 := "ABC"; A3 := "DEF"; R1.C1 := 4; R2.C1 := 5; B_ARR := (1|3|5|7|9 => TRUE, 2|4|6|8|10 => FALSE); SET_PRIV (P2); IF S1 = S2 OR A1 = A3 OR R1 = R2 OR P1 = P2 THEN FAILED ("WRONG ASSIGNMENT"); END IF; BEGIN DECLARE PROCEDURE PR IS NEW GP (INTEGER, S1, S2); BEGIN S2 := S1; PR; -- OLD S2 ASSIGNED TO S1, SO S1 /= S2 NOW FAILED ("EX NOT RAISED 1"); EXCEPTION WHEN EX => NULL; END; DECLARE SUBTYPE STR_1_3 IS STRING (IDENT_INT (1)..3); PROCEDURE PR IS NEW GP (STR_1_3, A1, A3); BEGIN A3 := A1; PR; FAILED ("EX NOT RAISED 2"); EXCEPTION WHEN EX => NULL; END; DECLARE PROCEDURE PR IS NEW GP (REC, R1, R2); BEGIN R2 := R1; PR; FAILED ("EX NOT RAISED 3"); EXCEPTION WHEN EX => NULL; END; DECLARE PROCEDURE PR IS NEW GP (PRIV, P1, P2); BEGIN P2 := P1; PR; FAILED ("EX NOT RAISED 4"); EXCEPTION WHEN EX => NULL; END; DECLARE PROCEDURE PR IS NEW GP (CHARACTER, A3(IDENT_INT(2)), A3(IDENT_INT(3))); BEGIN A3(3) := A3(2); PR; FAILED ("EX NOT RAISED 5"); EXCEPTION WHEN EX => NULL; END; DECLARE PROCEDURE PR IS NEW GP (BOOLEAN, B_ARR(IDENT_INT(2)), B_ARR(IDENT_INT(3))); BEGIN B_ARR(3) := B_ARR(2); PR; FAILED ("EX NOT RAISED 6"); EXCEPTION WHEN EX => NULL; END; END; IF S1 = S2 OR A1 = A2 OR R1 = R2 OR P1 = P2 OR A3(2) = A3(3) OR B_ARR(2) = B_ARR(3) THEN FAILED ("ASSIGNMENT FAILED 2"); END IF; END; RESULT; END CC3120A;
Ada
5,578
ada
7
30.81768
79
0.430979
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . T A S K I N G . P R O T E C T E D _ O B J E C T S . -- -- O P E R A T I O N S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNARL is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNARL; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- -- -- -- -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains all the extended primitives related to protected -- objects with entries. -- The handling of protected objects with no entries is done in -- System.Tasking.Protected_Objects, the simple routines for protected -- objects with entries in System.Tasking.Protected_Objects.Entries. The -- split between Entries and Operations is needed to break circular -- dependencies inside the run time. -- Note: the compiler generates direct calls to this interface, via Rtsfind. -- Any changes to this interface may require corresponding compiler changes. with Ada.Exceptions; -- Used for Exception_Id with System.Tasking.Protected_Objects.Entries; package System.Tasking.Protected_Objects.Operations is pragma Elaborate_Body; type Communication_Block is private; -- Objects of this type are passed between GNARL calls to allow RTS -- information to be preserved. procedure Protected_Entry_Call (Object : Entries.Protection_Entries_Access; E : Protected_Entry_Index; Uninterpreted_Data : System.Address; Mode : Call_Modes; Block : out Communication_Block); -- Make a protected entry call to the specified object. -- Pend a protected entry call on the protected object represented -- by Object. A pended call is not queued; it may be executed immediately -- or queued, depending on the state of the entry barrier. -- -- E -- The index representing the entry to be called. -- -- Uninterpreted_Data -- This will be returned by Next_Entry_Call when this call is serviced. -- It can be used by the compiler to pass information between the -- caller and the server, in particular entry parameters. -- -- Mode -- The kind of call to be pended -- -- Block -- Information passed between runtime calls by the compiler procedure Timed_Protected_Entry_Call (Object : Entries.Protection_Entries_Access; E : Protected_Entry_Index; Uninterpreted_Data : System.Address; Timeout : Duration; Mode : Delay_Modes; Entry_Call_Successful : out Boolean); -- Same as the Protected_Entry_Call but with time-out specified. -- This routines is used when we do not use ATC mechanism to implement -- timed entry calls. procedure Service_Entries (Object : Entries.Protection_Entries_Access); pragma Inline (Service_Entries); procedure PO_Service_Entries (Self_ID : Task_Id; Object : Entries.Protection_Entries_Access; Unlock_Object : Boolean := True); -- Service all entry queues of the specified object, executing the -- corresponding bodies of any queued entry calls that are waiting -- on True barriers. This is used when the state of a protected -- object may have changed, in particular after the execution of -- the statement sequence of a protected procedure. -- -- Note that servicing an entry may change the value of one or more -- barriers, so this routine keeps checking barriers until all of -- them are closed. -- -- This must be called with abort deferred and with the corresponding -- object locked. -- -- If Unlock_Object is set True, then Object is unlocked on return, -- otherwise Object remains locked and the caller is responsible for -- the required unlock. procedure Complete_Entry_Body (Object : Entries.Protection_Entries_Access); -- Called from within an entry body procedure, indicates that the -- corresponding entry call has been serviced. procedure Exceptional_Complete_Entry_Body (Object : Entries.Protection_Entries_Access; Ex : Ada.Exceptions.Exception_Id); -- Perform all of the functions of Complete_Entry_Body. In addition, -- report in Ex the exception whose propagation terminated the entry -- body to the runtime system. procedure Cancel_Protected_Entry_Call (Block : in out Communication_Block); -- Attempt to cancel the most recent protected entry call. If the call is -- not queued abortably, wait until it is or until it has completed. -- If the call is actually cancelled, the called object will be -- locked on return from this call. Get_Cancelled (Block) can be -- used to determine if the cancellation took place; there -- may be entries needing service in this case. -- -- Block passes information between this and other runtime calls. function Enqueued (Block : Communication_Block) return Boolean; -- Returns True if the Protected_Entry_Call which returned the -- specified Block object was queued; False otherwise. function Cancelled (Block : Communication_Block) return Boolean; -- Returns True if the Protected_Entry_Call which returned the -- specified Block object was cancelled, False otherwise. procedure Requeue_Protected_Entry (Object : Entries.Protection_Entries_Access; New_Object : Entries.Protection_Entries_Access; E : Protected_Entry_Index; With_Abort : Boolean); -- If Object = New_Object, queue the protected entry call on Object -- currently being serviced on the queue corresponding to the entry -- represented by E. -- -- If Object /= New_Object, transfer the call to New_Object.E, -- executing or queuing it as appropriate. -- -- With_Abort---True if the call is to be queued abortably, false -- otherwise. procedure Requeue_Task_To_Protected_Entry (New_Object : Entries.Protection_Entries_Access; E : Protected_Entry_Index; With_Abort : Boolean); -- Transfer task entry call currently being serviced to entry E -- on New_Object. -- -- With_Abort---True if the call is to be queued abortably, false -- otherwise. function Protected_Count (Object : Entries.Protection_Entries'Class; E : Protected_Entry_Index) return Natural; -- Return the number of entry calls to E on Object function Protected_Entry_Caller (Object : Entries.Protection_Entries'Class) return Task_Id; -- Return value of E'Caller, where E is the protected entry currently -- being handled. This will only work if called from within an entry -- body, as required by the LRM (C.7.1(14)). -- For internal use only procedure PO_Do_Or_Queue (Self_ID : Task_Id; Object : Entries.Protection_Entries_Access; Entry_Call : Entry_Call_Link; With_Abort : Boolean); -- This procedure either executes or queues an entry call, depending -- on the status of the corresponding barrier. It assumes that abort -- is deferred and that the specified object is locked. private type Communication_Block is record Self : Task_Id; Enqueued : Boolean := True; Cancelled : Boolean := False; end record; pragma Volatile (Communication_Block); -- ????? -- The Communication_Block seems to be a relic. At the moment, the -- compiler seems to be generating unnecessary conditional code based on -- this block. See the code generated for async. select with task entry -- call for another way of solving this. end System.Tasking.Protected_Objects.Operations;
Ada
9,459
ads
1
44.829384
79
0.62681
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 5 0 -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Handling of packed arrays with Component_Size = 50 package System.Pack_50 is pragma Preelaborate; Bits : constant := 50; type Bits_50 is mod 2 ** Bits; for Bits_50'Size use Bits; function Get_50 (Arr : System.Address; N : Natural) return Bits_50; -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is extracted and returned. procedure Set_50 (Arr : System.Address; N : Natural; E : Bits_50); -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is set to the given value. function GetU_50 (Arr : System.Address; N : Natural) return Bits_50; -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is extracted and returned. This version -- is used when Arr may represent an unaligned address. procedure SetU_50 (Arr : System.Address; N : Natural; E : Bits_50); -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is set to the given value. This version -- is used when Arr may represent an unaligned address end System.Pack_50;
Ada
3,681
ads
1
58.428571
78
0.499593
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.DC; with AMF.DG.Clip_Paths; with AMF.DG.Groups; with AMF.DG.Markers; with AMF.DG.Styles.Collections; with AMF.Elements; with AMF.Internals.Element_Collections; with AMF.Internals.Helpers; with AMF.Internals.Tables.DD_Attributes; with AMF.Visitors.DG_Iterators; with AMF.Visitors.DG_Visitors; package body AMF.Internals.DG_Lines is --------------- -- Get_Start -- --------------- overriding function Get_Start (Self : not null access constant DG_Line_Proxy) return AMF.DC.DC_Point is begin return AMF.Internals.Tables.DD_Attributes.Internal_Get_Start (Self.Element); end Get_Start; --------------- -- Set_Start -- --------------- overriding procedure Set_Start (Self : not null access DG_Line_Proxy; To : AMF.DC.DC_Point) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_Start (Self.Element, To); end Set_Start; ------------- -- Get_End -- ------------- overriding function Get_End (Self : not null access constant DG_Line_Proxy) return AMF.DC.DC_Point is begin return AMF.Internals.Tables.DD_Attributes.Internal_Get_End (Self.Element); end Get_End; ------------- -- Set_End -- ------------- overriding procedure Set_End (Self : not null access DG_Line_Proxy; To : AMF.DC.DC_Point) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_End (Self.Element, To); end Set_End; ---------------------- -- Get_Start_Marker -- ---------------------- overriding function Get_Start_Marker (Self : not null access constant DG_Line_Proxy) return AMF.DG.Markers.DG_Marker_Access is begin return AMF.DG.Markers.DG_Marker_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.DD_Attributes.Internal_Get_Start_Marker (Self.Element))); end Get_Start_Marker; ---------------------- -- Set_Start_Marker -- ---------------------- overriding procedure Set_Start_Marker (Self : not null access DG_Line_Proxy; To : AMF.DG.Markers.DG_Marker_Access) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_Start_Marker (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (To))); end Set_Start_Marker; -------------------- -- Get_End_Marker -- -------------------- overriding function Get_End_Marker (Self : not null access constant DG_Line_Proxy) return AMF.DG.Markers.DG_Marker_Access is begin return AMF.DG.Markers.DG_Marker_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.DD_Attributes.Internal_Get_End_Marker (Self.Element))); end Get_End_Marker; -------------------- -- Set_End_Marker -- -------------------- overriding procedure Set_End_Marker (Self : not null access DG_Line_Proxy; To : AMF.DG.Markers.DG_Marker_Access) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_End_Marker (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (To))); end Set_End_Marker; -------------------- -- Get_Mid_Marker -- -------------------- overriding function Get_Mid_Marker (Self : not null access constant DG_Line_Proxy) return AMF.DG.Markers.DG_Marker_Access is begin return AMF.DG.Markers.DG_Marker_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.DD_Attributes.Internal_Get_Mid_Marker (Self.Element))); end Get_Mid_Marker; -------------------- -- Set_Mid_Marker -- -------------------- overriding procedure Set_Mid_Marker (Self : not null access DG_Line_Proxy; To : AMF.DG.Markers.DG_Marker_Access) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_Mid_Marker (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (To))); end Set_Mid_Marker; --------------- -- Get_Group -- --------------- overriding function Get_Group (Self : not null access constant DG_Line_Proxy) return AMF.DG.Groups.DG_Group_Access is begin return AMF.DG.Groups.DG_Group_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.DD_Attributes.Internal_Get_Group (Self.Element))); end Get_Group; --------------- -- Set_Group -- --------------- overriding procedure Set_Group (Self : not null access DG_Line_Proxy; To : AMF.DG.Groups.DG_Group_Access) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_Group (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (To))); end Set_Group; --------------------- -- Get_Local_Style -- --------------------- overriding function Get_Local_Style (Self : not null access constant DG_Line_Proxy) return AMF.DG.Styles.Collections.Ordered_Set_Of_DG_Style is begin return AMF.DG.Styles.Collections.Wrap (AMF.Internals.Element_Collections.Wrap (AMF.Internals.Tables.DD_Attributes.Internal_Get_Local_Style (Self.Element))); end Get_Local_Style; ---------------------- -- Get_Shared_Style -- ---------------------- overriding function Get_Shared_Style (Self : not null access constant DG_Line_Proxy) return AMF.DG.Styles.Collections.Ordered_Set_Of_DG_Style is begin return AMF.DG.Styles.Collections.Wrap (AMF.Internals.Element_Collections.Wrap (AMF.Internals.Tables.DD_Attributes.Internal_Get_Shared_Style (Self.Element))); end Get_Shared_Style; ------------------- -- Get_Transform -- ------------------- overriding function Get_Transform (Self : not null access constant DG_Line_Proxy) return AMF.DG.Sequence_Of_DG_Transform is begin return AMF.Internals.Tables.DD_Attributes.Internal_Get_Transform (Self.Element); end Get_Transform; ------------------- -- Get_Clip_Path -- ------------------- overriding function Get_Clip_Path (Self : not null access constant DG_Line_Proxy) return AMF.DG.Clip_Paths.DG_Clip_Path_Access is begin return AMF.DG.Clip_Paths.DG_Clip_Path_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.DD_Attributes.Internal_Get_Clip_Path (Self.Element))); end Get_Clip_Path; ------------------- -- Set_Clip_Path -- ------------------- overriding procedure Set_Clip_Path (Self : not null access DG_Line_Proxy; To : AMF.DG.Clip_Paths.DG_Clip_Path_Access) is begin AMF.Internals.Tables.DD_Attributes.Internal_Set_Clip_Path (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (To))); end Set_Clip_Path; ------------------- -- Enter_Element -- ------------------- overriding procedure Enter_Element (Self : not null access constant DG_Line_Proxy; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Visitor in AMF.Visitors.DG_Visitors.DG_Visitor'Class then AMF.Visitors.DG_Visitors.DG_Visitor'Class (Visitor).Enter_Line (AMF.DG.Lines.DG_Line_Access (Self), Control); end if; end Enter_Element; ------------------- -- Leave_Element -- ------------------- overriding procedure Leave_Element (Self : not null access constant DG_Line_Proxy; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Visitor in AMF.Visitors.DG_Visitors.DG_Visitor'Class then AMF.Visitors.DG_Visitors.DG_Visitor'Class (Visitor).Leave_Line (AMF.DG.Lines.DG_Line_Access (Self), Control); end if; end Leave_Element; ------------------- -- Visit_Element -- ------------------- overriding procedure Visit_Element (Self : not null access constant DG_Line_Proxy; Iterator : in out AMF.Visitors.Abstract_Iterator'Class; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Iterator in AMF.Visitors.DG_Iterators.DG_Iterator'Class then AMF.Visitors.DG_Iterators.DG_Iterator'Class (Iterator).Visit_Line (Visitor, AMF.DG.Lines.DG_Line_Access (Self), Control); end if; end Visit_Element; end AMF.Internals.DG_Lines;
Ada
12,224
adb
24
34.727273
78
0.552192
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012-2013, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.Generic_Collections; package AMF.OCL.Message_Exps.Collections is pragma Preelaborate; package OCL_Message_Exp_Collections is new AMF.Generic_Collections (OCL_Message_Exp, OCL_Message_Exp_Access); type Set_Of_OCL_Message_Exp is new OCL_Message_Exp_Collections.Set with null record; Empty_Set_Of_OCL_Message_Exp : constant Set_Of_OCL_Message_Exp; type Ordered_Set_Of_OCL_Message_Exp is new OCL_Message_Exp_Collections.Ordered_Set with null record; Empty_Ordered_Set_Of_OCL_Message_Exp : constant Ordered_Set_Of_OCL_Message_Exp; type Bag_Of_OCL_Message_Exp is new OCL_Message_Exp_Collections.Bag with null record; Empty_Bag_Of_OCL_Message_Exp : constant Bag_Of_OCL_Message_Exp; type Sequence_Of_OCL_Message_Exp is new OCL_Message_Exp_Collections.Sequence with null record; Empty_Sequence_Of_OCL_Message_Exp : constant Sequence_Of_OCL_Message_Exp; private Empty_Set_Of_OCL_Message_Exp : constant Set_Of_OCL_Message_Exp := (OCL_Message_Exp_Collections.Set with null record); Empty_Ordered_Set_Of_OCL_Message_Exp : constant Ordered_Set_Of_OCL_Message_Exp := (OCL_Message_Exp_Collections.Ordered_Set with null record); Empty_Bag_Of_OCL_Message_Exp : constant Bag_Of_OCL_Message_Exp := (OCL_Message_Exp_Collections.Bag with null record); Empty_Sequence_Of_OCL_Message_Exp : constant Sequence_Of_OCL_Message_Exp := (OCL_Message_Exp_Collections.Sequence with null record); end AMF.OCL.Message_Exps.Collections;
Ada
4,997
ads
24
54.315217
82
0.51591
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . C G I . D E B U G -- -- -- -- B o d y -- -- -- -- Copyright (C) 2000-2019, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Strings.Unbounded; package body GNAT.CGI.Debug is use Ada.Strings.Unbounded; -- Define the abstract type which act as a template for all debug IO modes. -- To create a new IO mode you must: -- 1. create a new package spec -- 2. create a new type derived from IO.Format -- 3. implement all the abstract routines in IO package IO is type Format is abstract tagged null record; function Output (Mode : Format'Class) return String; function Variable (Mode : Format; Name : String; Value : String) return String is abstract; -- Returns variable Name and its associated value function New_Line (Mode : Format) return String is abstract; -- Returns a new line such as this concatenated between two strings -- will display the strings on two lines. function Title (Mode : Format; Str : String) return String is abstract; -- Returns Str as a Title. A title must be alone and centered on a -- line. Next output will be on the following line. function Header (Mode : Format; Str : String) return String is abstract; -- Returns Str as an Header. An header must be alone on its line. Next -- output will be on the following line. end IO; ---------------------- -- IO for HTML Mode -- ---------------------- package HTML_IO is -- See IO for comments about these routines type Format is new IO.Format with null record; function Variable (IO : Format; Name : String; Value : String) return String; function New_Line (IO : Format) return String; function Title (IO : Format; Str : String) return String; function Header (IO : Format; Str : String) return String; end HTML_IO; ---------------------------- -- IO for Plain Text Mode -- ---------------------------- package Text_IO is -- See IO for comments about these routines type Format is new IO.Format with null record; function Variable (IO : Format; Name : String; Value : String) return String; function New_Line (IO : Format) return String; function Title (IO : Format; Str : String) return String; function Header (IO : Format; Str : String) return String; end Text_IO; -------------- -- Debug_IO -- -------------- package body IO is ------------ -- Output -- ------------ function Output (Mode : Format'Class) return String is Result : Unbounded_String; begin Result := To_Unbounded_String (Title (Mode, "CGI complete runtime environment") & Header (Mode, "CGI parameters:") & New_Line (Mode)); for K in 1 .. Argument_Count loop Result := Result & Variable (Mode, Key (K), Value (K)) & New_Line (Mode); end loop; Result := Result & New_Line (Mode) & Header (Mode, "CGI environment variables (Metavariables):") & New_Line (Mode); for P in Metavariable_Name'Range loop if Metavariable_Exists (P) then Result := Result & Variable (Mode, Metavariable_Name'Image (P), Metavariable (P)) & New_Line (Mode); end if; end loop; return To_String (Result); end Output; end IO; ------------- -- HTML_IO -- ------------- package body HTML_IO is NL : constant String := (1 => ASCII.LF); function Bold (S : String) return String; -- Returns S as an HTML bold string function Italic (S : String) return String; -- Returns S as an HTML italic string ---------- -- Bold -- ---------- function Bold (S : String) return String is begin return "<b>" & S & "</b>"; end Bold; ------------ -- Header -- ------------ function Header (IO : Format; Str : String) return String is pragma Unreferenced (IO); begin return "<h2>" & Str & "</h2>" & NL; end Header; ------------ -- Italic -- ------------ function Italic (S : String) return String is begin return "<i>" & S & "</i>"; end Italic; -------------- -- New_Line -- -------------- function New_Line (IO : Format) return String is pragma Unreferenced (IO); begin return "<br>" & NL; end New_Line; ----------- -- Title -- ----------- function Title (IO : Format; Str : String) return String is pragma Unreferenced (IO); begin return "<p align=center><font size=+2>" & Str & "</font></p>" & NL; end Title; -------------- -- Variable -- -------------- function Variable (IO : Format; Name : String; Value : String) return String is pragma Unreferenced (IO); begin return Bold (Name) & " = " & Italic (Value); end Variable; end HTML_IO; ------------- -- Text_IO -- ------------- package body Text_IO is ------------ -- Header -- ------------ function Header (IO : Format; Str : String) return String is begin return "*** " & Str & New_Line (IO); end Header; -------------- -- New_Line -- -------------- function New_Line (IO : Format) return String is pragma Unreferenced (IO); begin return String'(1 => ASCII.LF); end New_Line; ----------- -- Title -- ----------- function Title (IO : Format; Str : String) return String is Spaces : constant Natural := (80 - Str'Length) / 2; Indent : constant String (1 .. Spaces) := (others => ' '); begin return Indent & Str & New_Line (IO); end Title; -------------- -- Variable -- -------------- function Variable (IO : Format; Name : String; Value : String) return String is pragma Unreferenced (IO); begin return " " & Name & " = " & Value; end Variable; end Text_IO; ----------------- -- HTML_Output -- ----------------- function HTML_Output return String is HTML : HTML_IO.Format; begin return IO.Output (Mode => HTML); end HTML_Output; ----------------- -- Text_Output -- ----------------- function Text_Output return String is Text : Text_IO.Format; begin return IO.Output (Mode => Text); end Text_Output; end GNAT.CGI.Debug;
Ada
9,217
adb
null
29.260317
79
0.463491
-- This spec has been automatically generated from FE310.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package FE310_SVD.RTC is pragma Preelaborate; --------------- -- Registers -- --------------- subtype CONFIG_SCALE_Field is HAL.UInt4; -- RTC Configuration Register. type CONFIG_Register is record SCALE : CONFIG_SCALE_Field := 16#0#; -- unspecified Reserved_4_11 : HAL.UInt8 := 16#0#; ENALWAYS : Boolean := False; -- unspecified Reserved_13_27 : HAL.UInt15 := 16#0#; CMP_IP : Boolean := False; -- unspecified Reserved_29_31 : HAL.UInt3 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CONFIG_Register use record SCALE at 0 range 0 .. 3; Reserved_4_11 at 0 range 4 .. 11; ENALWAYS at 0 range 12 .. 12; Reserved_13_27 at 0 range 13 .. 27; CMP_IP at 0 range 28 .. 28; Reserved_29_31 at 0 range 29 .. 31; end record; subtype HI_CNT_Field is HAL.UInt16; -- RTC Count Register High. type HI_Register is record CNT : HI_CNT_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for HI_Register use record CNT at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Real-Time Clock. type RTC_Peripheral is record -- RTC Configuration Register. CONFIG : aliased CONFIG_Register; -- RTC Count Register Low. LO : aliased HAL.UInt32; -- RTC Count Register High. HI : aliased HI_Register; -- RTC Scaled Counter Register. SCALE_COUNT : aliased HAL.UInt32; -- RTC Compare Register. COMPARE : aliased HAL.UInt32; end record with Volatile; for RTC_Peripheral use record CONFIG at 16#0# range 0 .. 31; LO at 16#8# range 0 .. 31; HI at 16#C# range 0 .. 31; SCALE_COUNT at 16#10# range 0 .. 31; COMPARE at 16#20# range 0 .. 31; end record; -- Real-Time Clock. RTC_Periph : aliased RTC_Peripheral with Import, Address => System'To_Address (16#10000040#); end FE310_SVD.RTC;
Ada
2,528
ads
192
27.78022
62
0.581883
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>DoCompute</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>292</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>in_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>in.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>2</direction> <if_type>4</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>in_V1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>61</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>out_V3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>61</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>numReps</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>numReps</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>weights0_m_weights_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>weights0_m_weights_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>weights0_m_weights_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>weights0_m_weights_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>weights0_m_weights_V_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_10"> <Value> <Obj> <type>1</type> <id>10</id> <name>weights0_m_weights_V_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_11"> <Value> <Obj> <type>1</type> <id>11</id> <name>weights0_m_weights_V_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_12"> <Value> <Obj> <type>1</type> <id>12</id> <name>weights0_m_weights_V_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_13"> <Value> <Obj> <type>1</type> <id>13</id> <name>weights0_m_weights_V_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_14"> <Value> <Obj> <type>1</type> <id>14</id> <name>weights0_m_weights_V_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_15"> <Value> <Obj> <type>1</type> <id>15</id> <name>weights0_m_weights_V_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_16"> <Value> <Obj> <type>1</type> <id>16</id> <name>weights0_m_weights_V_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_17"> <Value> <Obj> <type>1</type> <id>17</id> <name>weights0_m_weights_V_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_18"> <Value> <Obj> <type>1</type> <id>18</id> <name>weights0_m_weights_V_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_19"> <Value> <Obj> <type>1</type> <id>19</id> <name>weights0_m_weights_V_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_20"> <Value> <Obj> <type>1</type> <id>20</id> <name>weights0_m_weights_V_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_21"> <Value> <Obj> <type>1</type> <id>21</id> <name>weights0_m_weights_V_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_22"> <Value> <Obj> <type>1</type> <id>22</id> <name>weights0_m_weights_V_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_23"> <Value> <Obj> <type>1</type> <id>23</id> <name>weights0_m_weights_V_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_24"> <Value> <Obj> <type>1</type> <id>24</id> <name>weights0_m_weights_V_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_25"> <Value> <Obj> <type>1</type> <id>25</id> <name>weights0_m_weights_V_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_26"> <Value> <Obj> <type>1</type> <id>26</id> <name>weights0_m_weights_V_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_27"> <Value> <Obj> <type>1</type> <id>27</id> <name>weights0_m_weights_V_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_28"> <Value> <Obj> <type>1</type> <id>28</id> <name>weights0_m_weights_V_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_29"> <Value> <Obj> <type>1</type> <id>29</id> <name>weights0_m_weights_V_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_30"> <Value> <Obj> <type>1</type> <id>30</id> <name>weights0_m_weights_V_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_31"> <Value> <Obj> <type>1</type> <id>31</id> <name>weights0_m_weights_V_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_32"> <Value> <Obj> <type>1</type> <id>32</id> <name>weights0_m_weights_V_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_33"> <Value> <Obj> <type>1</type> <id>33</id> <name>weights0_m_weights_V_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_34"> <Value> <Obj> <type>1</type> <id>34</id> <name>weights0_m_weights_V_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_35"> <Value> <Obj> <type>1</type> <id>35</id> <name>weights0_m_weights_V_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_36"> <Value> <Obj> <type>1</type> <id>36</id> <name>weights0_m_weights_V_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>416</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_37"> <Value> <Obj> <type>1</type> <id>37</id> <name>threshs0_m_threshold_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_38"> <Value> <Obj> <type>1</type> <id>38</id> <name>threshs0_m_threshold_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_39"> <Value> <Obj> <type>1</type> <id>39</id> <name>threshs0_m_threshold_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_40"> <Value> <Obj> <type>1</type> <id>40</id> <name>threshs0_m_threshold_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_41"> <Value> <Obj> <type>1</type> <id>41</id> <name>threshs0_m_threshold_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_42"> <Value> <Obj> <type>1</type> <id>42</id> <name>threshs0_m_threshold_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_43"> <Value> <Obj> <type>1</type> <id>43</id> <name>threshs0_m_threshold_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_44"> <Value> <Obj> <type>1</type> <id>44</id> <name>threshs0_m_threshold_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_45"> <Value> <Obj> <type>1</type> <id>45</id> <name>threshs0_m_threshold_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_46"> <Value> <Obj> <type>1</type> <id>46</id> <name>threshs0_m_threshold</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_47"> <Value> <Obj> <type>1</type> <id>47</id> <name>threshs0_m_threshold_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_48"> <Value> <Obj> <type>1</type> <id>48</id> <name>threshs0_m_threshold_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_49"> <Value> <Obj> <type>1</type> <id>49</id> <name>threshs0_m_threshold_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_50"> <Value> <Obj> <type>1</type> <id>50</id> <name>threshs0_m_threshold_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_51"> <Value> <Obj> <type>1</type> <id>51</id> <name>threshs0_m_threshold_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_52"> <Value> <Obj> <type>1</type> <id>52</id> <name>threshs0_m_threshold_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_53"> <Value> <Obj> <type>1</type> <id>53</id> <name>threshs0_m_threshold_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_54"> <Value> <Obj> <type>1</type> <id>54</id> <name>threshs0_m_threshold_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_55"> <Value> <Obj> <type>1</type> <id>55</id> <name>threshs0_m_threshold_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_56"> <Value> <Obj> <type>1</type> <id>56</id> <name>threshs0_m_threshold_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_57"> <Value> <Obj> <type>1</type> <id>57</id> <name>threshs0_m_threshold_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_58"> <Value> <Obj> <type>1</type> <id>58</id> <name>threshs0_m_threshold_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_59"> <Value> <Obj> <type>1</type> <id>59</id> <name>threshs0_m_threshold_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_60"> <Value> <Obj> <type>1</type> <id>60</id> <name>threshs0_m_threshold_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_61"> <Value> <Obj> <type>1</type> <id>61</id> <name>threshs0_m_threshold_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_62"> <Value> <Obj> <type>1</type> <id>62</id> <name>threshs0_m_threshold_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_63"> <Value> <Obj> <type>1</type> <id>63</id> <name>threshs0_m_threshold_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_64"> <Value> <Obj> <type>1</type> <id>64</id> <name>threshs0_m_threshold_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_65"> <Value> <Obj> <type>1</type> <id>65</id> <name>threshs0_m_threshold_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_66"> <Value> <Obj> <type>1</type> <id>66</id> <name>threshs0_m_threshold_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_67"> <Value> <Obj> <type>1</type> <id>67</id> <name>threshs0_m_threshold_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_68"> <Value> <Obj> <type>1</type> <id>68</id> <name>threshs0_m_threshold_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_69"> <Value> <Obj> <type>1</type> <id>69</id> <name>weights1_m_weights_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_70"> <Value> <Obj> <type>1</type> <id>70</id> <name>weights1_m_weights_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_71"> <Value> <Obj> <type>1</type> <id>71</id> <name>weights1_m_weights_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_72"> <Value> <Obj> <type>1</type> <id>72</id> <name>weights1_m_weights_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_73"> <Value> <Obj> <type>1</type> <id>73</id> <name>weights1_m_weights_V_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_74"> <Value> <Obj> <type>1</type> <id>74</id> <name>weights1_m_weights_V_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_75"> <Value> <Obj> <type>1</type> <id>75</id> <name>weights1_m_weights_V_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_76"> <Value> <Obj> <type>1</type> <id>76</id> <name>weights1_m_weights_V_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_77"> <Value> <Obj> <type>1</type> <id>77</id> <name>weights1_m_weights_V_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_78"> <Value> <Obj> <type>1</type> <id>78</id> <name>weights1_m_weights_V_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_79"> <Value> <Obj> <type>1</type> <id>79</id> <name>weights1_m_weights_V_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_80"> <Value> <Obj> <type>1</type> <id>80</id> <name>weights1_m_weights_V_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_81"> <Value> <Obj> <type>1</type> <id>81</id> <name>weights1_m_weights_V_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_82"> <Value> <Obj> <type>1</type> <id>82</id> <name>weights1_m_weights_V_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_83"> <Value> <Obj> <type>1</type> <id>83</id> <name>weights1_m_weights_V_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_84"> <Value> <Obj> <type>1</type> <id>84</id> <name>weights1_m_weights_V_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_85"> <Value> <Obj> <type>1</type> <id>85</id> <name>weights1_m_weights_V_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_86"> <Value> <Obj> <type>1</type> <id>86</id> <name>weights1_m_weights_V_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_87"> <Value> <Obj> <type>1</type> <id>87</id> <name>weights1_m_weights_V_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_88"> <Value> <Obj> <type>1</type> <id>88</id> <name>weights1_m_weights_V_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_89"> <Value> <Obj> <type>1</type> <id>89</id> <name>weights1_m_weights_V_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_90"> <Value> <Obj> <type>1</type> <id>90</id> <name>weights1_m_weights_V_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_91"> <Value> <Obj> <type>1</type> <id>91</id> <name>weights1_m_weights_V_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_92"> <Value> <Obj> <type>1</type> <id>92</id> <name>weights1_m_weights_V_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_93"> <Value> <Obj> <type>1</type> <id>93</id> <name>weights1_m_weights_V_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_94"> <Value> <Obj> <type>1</type> <id>94</id> <name>weights1_m_weights_V_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_95"> <Value> <Obj> <type>1</type> <id>95</id> <name>weights1_m_weights_V_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_96"> <Value> <Obj> <type>1</type> <id>96</id> <name>weights1_m_weights_V_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_97"> <Value> <Obj> <type>1</type> <id>97</id> <name>weights1_m_weights_V_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_98"> <Value> <Obj> <type>1</type> <id>98</id> <name>weights1_m_weights_V_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_99"> <Value> <Obj> <type>1</type> <id>99</id> <name>weights1_m_weights_V_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_100"> <Value> <Obj> <type>1</type> <id>100</id> <name>weights1_m_weights_V_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_101"> <Value> <Obj> <type>1</type> <id>101</id> <name>weights1_m_weights_V_32</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_102"> <Value> <Obj> <type>1</type> <id>102</id> <name>weights1_m_weights_V_33</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_103"> <Value> <Obj> <type>1</type> <id>103</id> <name>weights1_m_weights_V_34</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_104"> <Value> <Obj> <type>1</type> <id>104</id> <name>weights1_m_weights_V_35</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_105"> <Value> <Obj> <type>1</type> <id>105</id> <name>weights1_m_weights_V_36</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_106"> <Value> <Obj> <type>1</type> <id>106</id> <name>weights1_m_weights_V_37</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_107"> <Value> <Obj> <type>1</type> <id>107</id> <name>weights1_m_weights_V_38</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_108"> <Value> <Obj> <type>1</type> <id>108</id> <name>weights1_m_weights_V_39</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_109"> <Value> <Obj> <type>1</type> <id>109</id> <name>weights1_m_weights_V_40</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_110"> <Value> <Obj> <type>1</type> <id>110</id> <name>weights1_m_weights_V_41</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_111"> <Value> <Obj> <type>1</type> <id>111</id> <name>weights1_m_weights_V_42</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_112"> <Value> <Obj> <type>1</type> <id>112</id> <name>weights1_m_weights_V_43</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_113"> <Value> <Obj> <type>1</type> <id>113</id> <name>weights1_m_weights_V_44</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_114"> <Value> <Obj> <type>1</type> <id>114</id> <name>weights1_m_weights_V_45</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_115"> <Value> <Obj> <type>1</type> <id>115</id> <name>weights1_m_weights_V_46</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_116"> <Value> <Obj> <type>1</type> <id>116</id> <name>weights1_m_weights_V_47</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_117"> <Value> <Obj> <type>1</type> <id>117</id> <name>weights1_m_weights_V_48</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_118"> <Value> <Obj> <type>1</type> <id>118</id> <name>weights1_m_weights_V_49</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_119"> <Value> <Obj> <type>1</type> <id>119</id> <name>weights1_m_weights_V_50</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_120"> <Value> <Obj> <type>1</type> <id>120</id> <name>weights1_m_weights_V_51</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_121"> <Value> <Obj> <type>1</type> <id>121</id> <name>weights1_m_weights_V_52</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_122"> <Value> <Obj> <type>1</type> <id>122</id> <name>weights1_m_weights_V_53</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_123"> <Value> <Obj> <type>1</type> <id>123</id> <name>weights1_m_weights_V_54</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_124"> <Value> <Obj> <type>1</type> <id>124</id> <name>weights1_m_weights_V_55</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_125"> <Value> <Obj> <type>1</type> <id>125</id> <name>weights1_m_weights_V_56</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_126"> <Value> <Obj> <type>1</type> <id>126</id> <name>weights1_m_weights_V_57</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_127"> <Value> <Obj> <type>1</type> <id>127</id> <name>weights1_m_weights_V_58</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_128"> <Value> <Obj> <type>1</type> <id>128</id> <name>weights1_m_weights_V_59</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_129"> <Value> <Obj> <type>1</type> <id>129</id> <name>weights1_m_weights_V_60</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_130"> <Value> <Obj> <type>1</type> <id>130</id> <name>weights1_m_weights_V_61</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_131"> <Value> <Obj> <type>1</type> <id>131</id> <name>weights1_m_weights_V_62</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_132"> <Value> <Obj> <type>1</type> <id>132</id> <name>weights1_m_weights_V_63</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_133"> <Value> <Obj> <type>1</type> <id>133</id> <name>threshs1_m_threshold_63</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_134"> <Value> <Obj> <type>1</type> <id>134</id> <name>threshs1_m_threshold_62</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_135"> <Value> <Obj> <type>1</type> <id>135</id> <name>threshs1_m_threshold_51</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_136"> <Value> <Obj> <type>1</type> <id>136</id> <name>threshs1_m_threshold_40</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_137"> <Value> <Obj> <type>1</type> <id>137</id> <name>threshs1_m_threshold_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_138"> <Value> <Obj> <type>1</type> <id>138</id> <name>threshs1_m_threshold_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_139"> <Value> <Obj> <type>1</type> <id>139</id> <name>threshs1_m_threshold_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_140"> <Value> <Obj> <type>1</type> <id>140</id> <name>threshs1_m_threshold_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_141"> <Value> <Obj> <type>1</type> <id>141</id> <name>threshs1_m_threshold_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_142"> <Value> <Obj> <type>1</type> <id>142</id> <name>threshs1_m_threshold</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_143"> <Value> <Obj> <type>1</type> <id>143</id> <name>threshs1_m_threshold_61</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_144"> <Value> <Obj> <type>1</type> <id>144</id> <name>threshs1_m_threshold_60</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_145"> <Value> <Obj> <type>1</type> <id>145</id> <name>threshs1_m_threshold_59</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_146"> <Value> <Obj> <type>1</type> <id>146</id> <name>threshs1_m_threshold_58</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_147"> <Value> <Obj> <type>1</type> <id>147</id> <name>threshs1_m_threshold_57</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_148"> <Value> <Obj> <type>1</type> <id>148</id> <name>threshs1_m_threshold_56</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_149"> <Value> <Obj> <type>1</type> <id>149</id> <name>threshs1_m_threshold_55</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_150"> <Value> <Obj> <type>1</type> <id>150</id> <name>threshs1_m_threshold_54</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_151"> <Value> <Obj> <type>1</type> <id>151</id> <name>threshs1_m_threshold_53</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_152"> <Value> <Obj> <type>1</type> <id>152</id> <name>threshs1_m_threshold_52</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_153"> <Value> <Obj> <type>1</type> <id>153</id> <name>threshs1_m_threshold_50</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_154"> <Value> <Obj> <type>1</type> <id>154</id> <name>threshs1_m_threshold_49</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_155"> <Value> <Obj> <type>1</type> <id>155</id> <name>threshs1_m_threshold_48</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_156"> <Value> <Obj> <type>1</type> <id>156</id> <name>threshs1_m_threshold_47</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_157"> <Value> <Obj> <type>1</type> <id>157</id> <name>threshs1_m_threshold_46</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_158"> <Value> <Obj> <type>1</type> <id>158</id> <name>threshs1_m_threshold_45</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_159"> <Value> <Obj> <type>1</type> <id>159</id> <name>threshs1_m_threshold_44</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_160"> <Value> <Obj> <type>1</type> <id>160</id> <name>threshs1_m_threshold_43</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_161"> <Value> <Obj> <type>1</type> <id>161</id> <name>threshs1_m_threshold_42</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_162"> <Value> <Obj> <type>1</type> <id>162</id> <name>threshs1_m_threshold_41</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_163"> <Value> <Obj> <type>1</type> <id>163</id> <name>threshs1_m_threshold_39</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_164"> <Value> <Obj> <type>1</type> <id>164</id> <name>threshs1_m_threshold_38</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_165"> <Value> <Obj> <type>1</type> <id>165</id> <name>threshs1_m_threshold_37</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_166"> <Value> <Obj> <type>1</type> <id>166</id> <name>threshs1_m_threshold_36</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_167"> <Value> <Obj> <type>1</type> <id>167</id> <name>threshs1_m_threshold_35</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_168"> <Value> <Obj> <type>1</type> <id>168</id> <name>threshs1_m_threshold_34</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_169"> <Value> <Obj> <type>1</type> <id>169</id> <name>threshs1_m_threshold_33</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_170"> <Value> <Obj> <type>1</type> <id>170</id> <name>threshs1_m_threshold_32</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_171"> <Value> <Obj> <type>1</type> <id>171</id> <name>threshs1_m_threshold_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_172"> <Value> <Obj> <type>1</type> <id>172</id> <name>threshs1_m_threshold_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_173"> <Value> <Obj> <type>1</type> <id>173</id> <name>threshs1_m_threshold_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_174"> <Value> <Obj> <type>1</type> <id>174</id> <name>threshs1_m_threshold_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_175"> <Value> <Obj> <type>1</type> <id>175</id> <name>threshs1_m_threshold_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_176"> <Value> <Obj> <type>1</type> <id>176</id> <name>threshs1_m_threshold_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_177"> <Value> <Obj> <type>1</type> <id>177</id> <name>threshs1_m_threshold_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_178"> <Value> <Obj> <type>1</type> <id>178</id> <name>threshs1_m_threshold_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_179"> <Value> <Obj> <type>1</type> <id>179</id> <name>threshs1_m_threshold_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_180"> <Value> <Obj> <type>1</type> <id>180</id> <name>threshs1_m_threshold_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_181"> <Value> <Obj> <type>1</type> <id>181</id> <name>threshs1_m_threshold_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_182"> <Value> <Obj> <type>1</type> <id>182</id> <name>threshs1_m_threshold_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_183"> <Value> <Obj> <type>1</type> <id>183</id> <name>threshs1_m_threshold_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_184"> <Value> <Obj> <type>1</type> <id>184</id> <name>threshs1_m_threshold_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_185"> <Value> <Obj> <type>1</type> <id>185</id> <name>threshs1_m_threshold_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_186"> <Value> <Obj> <type>1</type> <id>186</id> <name>threshs1_m_threshold_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_187"> <Value> <Obj> <type>1</type> <id>187</id> <name>threshs1_m_threshold_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_188"> <Value> <Obj> <type>1</type> <id>188</id> <name>threshs1_m_threshold_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_189"> <Value> <Obj> <type>1</type> <id>189</id> <name>threshs1_m_threshold_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_190"> <Value> <Obj> <type>1</type> <id>190</id> <name>threshs1_m_threshold_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_191"> <Value> <Obj> <type>1</type> <id>191</id> <name>threshs1_m_threshold_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_192"> <Value> <Obj> <type>1</type> <id>192</id> <name>threshs1_m_threshold_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_193"> <Value> <Obj> <type>1</type> <id>193</id> <name>threshs1_m_threshold_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_194"> <Value> <Obj> <type>1</type> <id>194</id> <name>threshs1_m_threshold_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_195"> <Value> <Obj> <type>1</type> <id>195</id> <name>threshs1_m_threshold_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_196"> <Value> <Obj> <type>1</type> <id>196</id> <name>threshs1_m_threshold_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>16</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_197"> <Value> <Obj> <type>1</type> <id>197</id> <name>weights2_m_weights_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_198"> <Value> <Obj> <type>1</type> <id>198</id> <name>weights2_m_weights_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_199"> <Value> <Obj> <type>1</type> <id>199</id> <name>weights2_m_weights_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_200"> <Value> <Obj> <type>1</type> <id>200</id> <name>weights2_m_weights_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_201"> <Value> <Obj> <type>1</type> <id>201</id> <name>weights2_m_weights_V_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_202"> <Value> <Obj> <type>1</type> <id>202</id> <name>weights2_m_weights_V_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_203"> <Value> <Obj> <type>1</type> <id>203</id> <name>weights2_m_weights_V_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_204"> <Value> <Obj> <type>1</type> <id>204</id> <name>weights2_m_weights_V_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_205"> <Value> <Obj> <type>1</type> <id>205</id> <name>weights2_m_weights_V_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_206"> <Value> <Obj> <type>1</type> <id>206</id> <name>weights2_m_weights_V_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_207"> <Value> <Obj> <type>1</type> <id>207</id> <name>weights2_m_weights_V_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_208"> <Value> <Obj> <type>1</type> <id>208</id> <name>weights2_m_weights_V_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_209"> <Value> <Obj> <type>1</type> <id>209</id> <name>weights2_m_weights_V_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_210"> <Value> <Obj> <type>1</type> <id>210</id> <name>weights2_m_weights_V_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_211"> <Value> <Obj> <type>1</type> <id>211</id> <name>weights2_m_weights_V_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_212"> <Value> <Obj> <type>1</type> <id>212</id> <name>weights2_m_weights_V_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_213"> <Value> <Obj> <type>1</type> <id>213</id> <name>weights2_m_weights_V_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_214"> <Value> <Obj> <type>1</type> <id>214</id> <name>weights2_m_weights_V_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_215"> <Value> <Obj> <type>1</type> <id>215</id> <name>weights2_m_weights_V_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_216"> <Value> <Obj> <type>1</type> <id>216</id> <name>weights2_m_weights_V_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_217"> <Value> <Obj> <type>1</type> <id>217</id> <name>weights2_m_weights_V_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_218"> <Value> <Obj> <type>1</type> <id>218</id> <name>weights2_m_weights_V_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_219"> <Value> <Obj> <type>1</type> <id>219</id> <name>weights2_m_weights_V_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_220"> <Value> <Obj> <type>1</type> <id>220</id> <name>weights2_m_weights_V_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_221"> <Value> <Obj> <type>1</type> <id>221</id> <name>weights2_m_weights_V_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_222"> <Value> <Obj> <type>1</type> <id>222</id> <name>weights2_m_weights_V_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_223"> <Value> <Obj> <type>1</type> <id>223</id> <name>weights2_m_weights_V_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_224"> <Value> <Obj> <type>1</type> <id>224</id> <name>weights2_m_weights_V_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_225"> <Value> <Obj> <type>1</type> <id>225</id> <name>weights2_m_weights_V_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_226"> <Value> <Obj> <type>1</type> <id>226</id> <name>weights2_m_weights_V_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_227"> <Value> <Obj> <type>1</type> <id>227</id> <name>weights2_m_weights_V_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_228"> <Value> <Obj> <type>1</type> <id>228</id> <name>weights2_m_weights_V_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_229"> <Value> <Obj> <type>1</type> <id>229</id> <name>threshs2_m_threshold_31</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_230"> <Value> <Obj> <type>1</type> <id>230</id> <name>threshs2_m_threshold_30</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_231"> <Value> <Obj> <type>1</type> <id>231</id> <name>threshs2_m_threshold_19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_232"> <Value> <Obj> <type>1</type> <id>232</id> <name>threshs2_m_threshold_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_233"> <Value> <Obj> <type>1</type> <id>233</id> <name>threshs2_m_threshold_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_234"> <Value> <Obj> <type>1</type> <id>234</id> <name>threshs2_m_threshold_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_235"> <Value> <Obj> <type>1</type> <id>235</id> <name>threshs2_m_threshold_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_236"> <Value> <Obj> <type>1</type> <id>236</id> <name>threshs2_m_threshold_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_237"> <Value> <Obj> <type>1</type> <id>237</id> <name>threshs2_m_threshold_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_238"> <Value> <Obj> <type>1</type> <id>238</id> <name>threshs2_m_threshold</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_239"> <Value> <Obj> <type>1</type> <id>239</id> <name>threshs2_m_threshold_29</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_240"> <Value> <Obj> <type>1</type> <id>240</id> <name>threshs2_m_threshold_28</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_241"> <Value> <Obj> <type>1</type> <id>241</id> <name>threshs2_m_threshold_27</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_242"> <Value> <Obj> <type>1</type> <id>242</id> <name>threshs2_m_threshold_26</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_243"> <Value> <Obj> <type>1</type> <id>243</id> <name>threshs2_m_threshold_25</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_244"> <Value> <Obj> <type>1</type> <id>244</id> <name>threshs2_m_threshold_24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_245"> <Value> <Obj> <type>1</type> <id>245</id> <name>threshs2_m_threshold_23</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_246"> <Value> <Obj> <type>1</type> <id>246</id> <name>threshs2_m_threshold_22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_247"> <Value> <Obj> <type>1</type> <id>247</id> <name>threshs2_m_threshold_21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_248"> <Value> <Obj> <type>1</type> <id>248</id> <name>threshs2_m_threshold_20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_249"> <Value> <Obj> <type>1</type> <id>249</id> <name>threshs2_m_threshold_18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_250"> <Value> <Obj> <type>1</type> <id>250</id> <name>threshs2_m_threshold_17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_251"> <Value> <Obj> <type>1</type> <id>251</id> <name>threshs2_m_threshold_16</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_252"> <Value> <Obj> <type>1</type> <id>252</id> <name>threshs2_m_threshold_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_253"> <Value> <Obj> <type>1</type> <id>253</id> <name>threshs2_m_threshold_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_254"> <Value> <Obj> <type>1</type> <id>254</id> <name>threshs2_m_threshold_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_255"> <Value> <Obj> <type>1</type> <id>255</id> <name>threshs2_m_threshold_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_256"> <Value> <Obj> <type>1</type> <id>256</id> <name>threshs2_m_threshold_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_257"> <Value> <Obj> <type>1</type> <id>257</id> <name>threshs2_m_threshold_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_258"> <Value> <Obj> <type>1</type> <id>258</id> <name>threshs2_m_threshold_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_259"> <Value> <Obj> <type>1</type> <id>259</id> <name>threshs2_m_threshold_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_260"> <Value> <Obj> <type>1</type> <id>260</id> <name>threshs2_m_threshold_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_261"> <Value> <Obj> <type>1</type> <id>261</id> <name>weights3_m_weights_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_262"> <Value> <Obj> <type>1</type> <id>262</id> <name>weights3_m_weights_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_263"> <Value> <Obj> <type>1</type> <id>263</id> <name>weights3_m_weights_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_264"> <Value> <Obj> <type>1</type> <id>264</id> <name>weights3_m_weights_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_265"> <Value> <Obj> <type>1</type> <id>265</id> <name>weights3_m_weights_V_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_266"> <Value> <Obj> <type>1</type> <id>266</id> <name>weights3_m_weights_V_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_267"> <Value> <Obj> <type>1</type> <id>267</id> <name>weights3_m_weights_V_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_268"> <Value> <Obj> <type>1</type> <id>268</id> <name>weights3_m_weights_V_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_269"> <Value> <Obj> <type>1</type> <id>269</id> <name>weights3_m_weights_V_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_270"> <Value> <Obj> <type>1</type> <id>270</id> <name>weights3_m_weights_V_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_271"> <Value> <Obj> <type>1</type> <id>271</id> <name>weights3_m_weights_V_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_272"> <Value> <Obj> <type>1</type> <id>272</id> <name>weights3_m_weights_V_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_273"> <Value> <Obj> <type>1</type> <id>273</id> <name>weights3_m_weights_V_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_274"> <Value> <Obj> <type>1</type> <id>274</id> <name>weights3_m_weights_V_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_275"> <Value> <Obj> <type>1</type> <id>275</id> <name>weights3_m_weights_V_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_276"> <Value> <Obj> <type>1</type> <id>276</id> <name>weights3_m_weights_V_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>512</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_277"> <Value> <Obj> <type>1</type> <id>277</id> <name>threshs3_m_threshold_15</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_278"> <Value> <Obj> <type>1</type> <id>278</id> <name>threshs3_m_threshold_14</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_279"> <Value> <Obj> <type>1</type> <id>279</id> <name>threshs3_m_threshold_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_280"> <Value> <Obj> <type>1</type> <id>280</id> <name>threshs3_m_threshold_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_281"> <Value> <Obj> <type>1</type> <id>281</id> <name>threshs3_m_threshold_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_282"> <Value> <Obj> <type>1</type> <id>282</id> <name>threshs3_m_threshold_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_283"> <Value> <Obj> <type>1</type> <id>283</id> <name>threshs3_m_threshold_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_284"> <Value> <Obj> <type>1</type> <id>284</id> <name>threshs3_m_threshold_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_285"> <Value> <Obj> <type>1</type> <id>285</id> <name>threshs3_m_threshold_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_286"> <Value> <Obj> <type>1</type> <id>286</id> <name>threshs3_m_threshold</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_287"> <Value> <Obj> <type>1</type> <id>287</id> <name>threshs3_m_threshold_13</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_288"> <Value> <Obj> <type>1</type> <id>288</id> <name>threshs3_m_threshold_12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_289"> <Value> <Obj> <type>1</type> <id>289</id> <name>threshs3_m_threshold_11</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_290"> <Value> <Obj> <type>1</type> <id>290</id> <name>threshs3_m_threshold_10</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_291"> <Value> <Obj> <type>1</type> <id>291</id> <name>threshs3_m_threshold_9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_292"> <Value> <Obj> <type>1</type> <id>292</id> <name>threshs3_m_threshold_8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>4</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>27</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_293"> <Value> <Obj> <type>0</type> <id>293</id> <name>numReps_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>numReps</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>355</item> <item>356</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_294"> <Value> <Obj> <type>0</type> <id>294</id> <name>out_V3_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>61</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>358</item> <item>359</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_295"> <Value> <Obj> <type>0</type> <id>295</id> <name>in_V1_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>61</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>360</item> <item>361</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_296"> <Value> <Obj> <type>0</type> <id>296</id> <name>out_V3_channel</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>61</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>363</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_297"> <Value> <Obj> <type>0</type> <id>297</id> <name>numReps_channel22</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>364</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_298"> <Value> <Obj> <type>0</type> <id>298</id> <name>numReps_channel21</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>365</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_299"> <Value> <Obj> <type>0</type> <id>299</id> <name>numReps_channel20</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>366</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_300"> <Value> <Obj> <type>0</type> <id>300</id> <name>numReps_channel19</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>367</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_301"> <Value> <Obj> <type>0</type> <id>301</id> <name>numReps_channel18</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>368</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_302"> <Value> <Obj> <type>0</type> <id>302</id> <name>numReps_channel17</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>369</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_303"> <Value> <Obj> <type>0</type> <id>303</id> <name>numReps_channel</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>370</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_304"> <Value> <Obj> <type>0</type> <id>307</id> <name>wa_in_m_target_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>104</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second class_id="12" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>104</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>140</second> </item> </second> </item> </inlineStackInfo> <originalName>wa_in.m_target.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>371</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_305"> <Value> <Obj> <type>0</type> <id>310</id> <name>wa_out_m_buffer_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>105</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>105</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>140</second> </item> </second> </item> </inlineStackInfo> <originalName>wa_out.m_buffer.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>372</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_306"> <Value> <Obj> <type>0</type> <id>313</id> <name>memInStrm_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>103</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>103</second> </item> </second> </item> </inlineStackInfo> <originalName>memInStrm.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>373</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_307"> <Value> <Obj> <type>0</type> <id>316</id> <name>inter0_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>104</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>104</second> </item> </second> </item> </inlineStackInfo> <originalName>inter0.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>374</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_308"> <Value> <Obj> <type>0</type> <id>319</id> <name>inter1_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>105</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>105</second> </item> </second> </item> </inlineStackInfo> <originalName>inter1.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>375</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_309"> <Value> <Obj> <type>0</type> <id>322</id> <name>inter2_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>106</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>106</second> </item> </second> </item> </inlineStackInfo> <originalName>inter2.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>376</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_310"> <Value> <Obj> <type>0</type> <id>325</id> <name>memOutStrm_V_V</name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>107</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>107</second> </item> </second> </item> </inlineStackInfo> <originalName>memOutStrm.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>377</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_311"> <Value> <Obj> <type>0</type> <id>332</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>379</item> <item>380</item> <item>381</item> <item>382</item> <item>383</item> <item>384</item> <item>385</item> <item>386</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_312"> <Value> <Obj> <type>0</type> <id>335</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>109</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;832, 1024, 64, 32, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 64, 32, BinaryWeights&amp;lt;64, 32, 416&amp;gt;, ThresholdsActivation&amp;lt;32, 32, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;832, 1024, 64, 32, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 64, 32, BinaryWeights&amp;lt;64, 32, 416&amp;gt;, ThresholdsActivation&amp;lt;32, 32, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>109</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>134</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>71</count> <item_version>0</item_version> <item>388</item> <item>389</item> <item>390</item> <item>391</item> <item>392</item> <item>429</item> <item>430</item> <item>431</item> <item>432</item> <item>433</item> <item>434</item> <item>435</item> <item>436</item> <item>437</item> <item>438</item> <item>439</item> <item>440</item> <item>441</item> <item>442</item> <item>443</item> <item>444</item> <item>445</item> <item>446</item> <item>447</item> <item>448</item> <item>449</item> <item>450</item> <item>451</item> <item>452</item> <item>453</item> <item>454</item> <item>455</item> <item>456</item> <item>457</item> <item>458</item> <item>459</item> <item>460</item> <item>461</item> <item>462</item> <item>463</item> <item>464</item> <item>465</item> <item>466</item> <item>467</item> <item>468</item> <item>469</item> <item>470</item> <item>471</item> <item>472</item> <item>473</item> <item>474</item> <item>475</item> <item>476</item> <item>477</item> <item>478</item> <item>479</item> <item>480</item> <item>481</item> <item>482</item> <item>483</item> <item>484</item> <item>485</item> <item>486</item> <item>487</item> <item>488</item> <item>489</item> <item>490</item> <item>491</item> <item>492</item> <item>1185</item> <item>1186</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_313"> <Value> <Obj> <type>0</type> <id>338</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>109</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;1024, 1024, 32, 64, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;32, 64, 512&amp;gt;, ThresholdsActivation&amp;lt;16, 64, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 1024, 32, 64, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;32, 64, 512&amp;gt;, ThresholdsActivation&amp;lt;16, 64, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>109</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>136</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>136</count> <item_version>0</item_version> <item>394</item> <item>395</item> <item>396</item> <item>397</item> <item>398</item> <item>493</item> <item>494</item> <item>495</item> <item>496</item> <item>497</item> <item>498</item> <item>499</item> <item>500</item> <item>501</item> <item>502</item> <item>503</item> <item>504</item> <item>505</item> <item>506</item> <item>507</item> <item>508</item> <item>509</item> <item>510</item> <item>511</item> <item>512</item> <item>513</item> <item>514</item> <item>515</item> <item>516</item> <item>517</item> <item>518</item> <item>519</item> <item>520</item> <item>521</item> <item>522</item> <item>523</item> <item>524</item> <item>525</item> <item>526</item> <item>527</item> <item>528</item> <item>529</item> <item>530</item> <item>531</item> <item>532</item> <item>533</item> <item>534</item> <item>535</item> <item>536</item> <item>537</item> <item>538</item> <item>539</item> <item>540</item> <item>541</item> <item>542</item> <item>543</item> <item>544</item> <item>545</item> <item>546</item> <item>547</item> <item>548</item> <item>549</item> <item>550</item> <item>551</item> <item>552</item> <item>553</item> <item>554</item> <item>555</item> <item>556</item> <item>557</item> <item>558</item> <item>559</item> <item>560</item> <item>561</item> <item>562</item> <item>563</item> <item>564</item> <item>565</item> <item>566</item> <item>567</item> <item>568</item> <item>569</item> <item>570</item> <item>571</item> <item>572</item> <item>573</item> <item>574</item> <item>575</item> <item>576</item> <item>577</item> <item>578</item> <item>579</item> <item>580</item> <item>581</item> <item>582</item> <item>583</item> <item>584</item> <item>585</item> <item>586</item> <item>587</item> <item>588</item> <item>589</item> <item>590</item> <item>591</item> <item>592</item> <item>593</item> <item>594</item> <item>595</item> <item>596</item> <item>597</item> <item>598</item> <item>599</item> <item>600</item> <item>601</item> <item>602</item> <item>603</item> <item>604</item> <item>605</item> <item>606</item> <item>607</item> <item>608</item> <item>609</item> <item>610</item> <item>611</item> <item>612</item> <item>613</item> <item>614</item> <item>615</item> <item>616</item> <item>617</item> <item>618</item> <item>619</item> <item>620</item> <item>1178</item> <item>1184</item> <item>1187</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_314"> <Value> <Obj> <type>0</type> <id>341</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>109</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;1024, 1024, 64, 32, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 64, 32, BinaryWeights&amp;lt;64, 32, 512&amp;gt;, ThresholdsActivation&amp;lt;32, 32, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 1024, 64, 32, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 64, 32, BinaryWeights&amp;lt;64, 32, 512&amp;gt;, ThresholdsActivation&amp;lt;32, 32, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>109</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>72</count> <item_version>0</item_version> <item>400</item> <item>401</item> <item>402</item> <item>403</item> <item>404</item> <item>621</item> <item>622</item> <item>623</item> <item>624</item> <item>625</item> <item>626</item> <item>627</item> <item>628</item> <item>629</item> <item>630</item> <item>631</item> <item>632</item> <item>633</item> <item>634</item> <item>635</item> <item>636</item> <item>637</item> <item>638</item> <item>639</item> <item>640</item> <item>641</item> <item>642</item> <item>643</item> <item>644</item> <item>645</item> <item>646</item> <item>647</item> <item>648</item> <item>649</item> <item>650</item> <item>651</item> <item>652</item> <item>653</item> <item>654</item> <item>655</item> <item>656</item> <item>657</item> <item>658</item> <item>659</item> <item>660</item> <item>661</item> <item>662</item> <item>663</item> <item>664</item> <item>665</item> <item>666</item> <item>667</item> <item>668</item> <item>669</item> <item>670</item> <item>671</item> <item>672</item> <item>673</item> <item>674</item> <item>675</item> <item>676</item> <item>677</item> <item>678</item> <item>679</item> <item>680</item> <item>681</item> <item>682</item> <item>683</item> <item>684</item> <item>1177</item> <item>1183</item> <item>1188</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_315"> <Value> <Obj> <type>0</type> <id>344</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/streamtools.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>720</lineNumber> <contextFuncName>WidthAdjustedInputStream</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>104</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/streamtools.h</first> <second>WidthAdjustedInputStream</second> </first> <second>720</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>140</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>406</item> <item>407</item> <item>408</item> <item>409</item> <item>410</item> <item>1182</item> <item>1189</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_316"> <Value> <Obj> <type>0</type> <id>347</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>109</lineNumber> <contextFuncName>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>109</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>140</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>39</count> <item_version>0</item_version> <item>412</item> <item>413</item> <item>414</item> <item>415</item> <item>416</item> <item>685</item> <item>686</item> <item>687</item> <item>688</item> <item>689</item> <item>690</item> <item>691</item> <item>692</item> <item>693</item> <item>694</item> <item>695</item> <item>696</item> <item>697</item> <item>698</item> <item>699</item> <item>700</item> <item>701</item> <item>702</item> <item>703</item> <item>704</item> <item>705</item> <item>706</item> <item>707</item> <item>708</item> <item>709</item> <item>710</item> <item>711</item> <item>712</item> <item>713</item> <item>714</item> <item>715</item> <item>716</item> <item>1181</item> <item>1190</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_317"> <Value> <Obj> <type>0</type> <id>350</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/streamtools.h</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>754</lineNumber> <contextFuncName>~WidthAdjustedOutputStream</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/fclayer.h</first> <second>StreamingFCLayer_Batch&amp;lt;1024, 64, 8, 16, Recast&amp;lt;XnorMul&amp;gt;, Slice&amp;lt;ap_uint&amp;lt;1&amp;gt;, 1&amp;gt;, Identity, 32, 64, BinaryWeights&amp;lt;8, 16, 512&amp;gt;, ThresholdsActivation&amp;lt;4, 16, 1, ap_int&amp;lt;16&amp;gt;, ap_uint&amp;lt;1&amp;gt;, 0, std::less&amp;lt;ap_int&amp;lt;16&amp;gt; &amp;gt; &amp;gt;, ap_resource_lut&amp;gt;</second> </first> <second>111</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//library/finn-hlslib/streamtools.h</first> <second>~WidthAdjustedOutputStream</second> </first> <second>754</second> </item> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>140</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>418</item> <item>419</item> <item>420</item> <item>421</item> <item>422</item> <item>1180</item> <item>1191</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_318"> <Value> <Obj> <type>0</type> <id>351</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>424</item> <item>425</item> <item>426</item> <item>427</item> <item>428</item> <item>1179</item> <item>1192</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_319"> <Value> <Obj> <type>0</type> <id>352</id> <name></name> <fileName>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</fileName> <fileDirectory>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</fileDirectory> <lineNumber>143</lineNumber> <contextFuncName>DoCompute</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/jf2715/BNN-PYNQ/bnn/src/network/output/hls-syn</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/jf2715/BNN-PYNQ/bnn/src//network/lfcW1A1/hw/top.cpp</first> <second>DoCompute</second> </first> <second>143</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_320"> <Value> <Obj> <type>2</type> <id>362</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_321"> <Value> <Obj> <type>2</type> <id>378</id> <name>Mem2Stream_Batch12</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Mem2Stream_Batch12&gt;</content> </item> <item class_id_reference="16" object_id="_322"> <Value> <Obj> <type>2</type> <id>387</id> <name>Matrix_Vector_Activa</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Matrix_Vector_Activa&gt;</content> </item> <item class_id_reference="16" object_id="_323"> <Value> <Obj> <type>2</type> <id>393</id> <name>Matrix_Vector_Activa_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Matrix_Vector_Activa.3&gt;</content> </item> <item class_id_reference="16" object_id="_324"> <Value> <Obj> <type>2</type> <id>399</id> <name>Matrix_Vector_Activa_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Matrix_Vector_Activa.2&gt;</content> </item> <item class_id_reference="16" object_id="_325"> <Value> <Obj> <type>2</type> <id>405</id> <name>StreamingDataWidthCo</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:StreamingDataWidthCo&gt;</content> </item> <item class_id_reference="16" object_id="_326"> <Value> <Obj> <type>2</type> <id>411</id> <name>Matrix_Vector_Activa_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Matrix_Vector_Activa.1&gt;</content> </item> <item class_id_reference="16" object_id="_327"> <Value> <Obj> <type>2</type> <id>417</id> <name>StreamingDataWidthCo_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:StreamingDataWidthCo.1&gt;</content> </item> <item class_id_reference="16" object_id="_328"> <Value> <Obj> <type>2</type> <id>423</id> <name>Stream2Mem_Batch</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Stream2Mem_Batch&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_329"> <Obj> <type>3</type> <id>353</id> <name>DoCompute</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>27</count> <item_version>0</item_version> <item>293</item> <item>294</item> <item>295</item> <item>296</item> <item>297</item> <item>298</item> <item>299</item> <item>300</item> <item>301</item> <item>302</item> <item>303</item> <item>307</item> <item>310</item> <item>313</item> <item>316</item> <item>319</item> <item>322</item> <item>325</item> <item>332</item> <item>335</item> <item>338</item> <item>341</item> <item>344</item> <item>347</item> <item>350</item> <item>351</item> <item>352</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>365</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_330"> <id>356</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>293</sink_obj> </item> <item class_id_reference="20" object_id="_331"> <id>359</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>294</sink_obj> </item> <item class_id_reference="20" object_id="_332"> <id>361</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>295</sink_obj> </item> <item class_id_reference="20" object_id="_333"> <id>363</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>296</sink_obj> </item> <item class_id_reference="20" object_id="_334"> <id>364</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>297</sink_obj> </item> <item class_id_reference="20" object_id="_335"> <id>365</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>298</sink_obj> </item> <item class_id_reference="20" object_id="_336"> <id>366</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>299</sink_obj> </item> <item class_id_reference="20" object_id="_337"> <id>367</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>300</sink_obj> </item> <item class_id_reference="20" object_id="_338"> <id>368</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>301</sink_obj> </item> <item class_id_reference="20" object_id="_339"> <id>369</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>302</sink_obj> </item> <item class_id_reference="20" object_id="_340"> <id>370</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>303</sink_obj> </item> <item class_id_reference="20" object_id="_341"> <id>371</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>307</sink_obj> </item> <item class_id_reference="20" object_id="_342"> <id>372</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>310</sink_obj> </item> <item class_id_reference="20" object_id="_343"> <id>373</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>313</sink_obj> </item> <item class_id_reference="20" object_id="_344"> <id>374</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>316</sink_obj> </item> <item class_id_reference="20" object_id="_345"> <id>375</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>319</sink_obj> </item> <item class_id_reference="20" object_id="_346"> <id>376</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>322</sink_obj> </item> <item class_id_reference="20" object_id="_347"> <id>377</id> <edge_type>1</edge_type> <source_obj>362</source_obj> <sink_obj>325</sink_obj> </item> <item class_id_reference="20" object_id="_348"> <id>379</id> <edge_type>1</edge_type> <source_obj>378</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_349"> <id>380</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_350"> <id>381</id> <edge_type>1</edge_type> <source_obj>295</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_351"> <id>382</id> <edge_type>1</edge_type> <source_obj>313</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_352"> <id>383</id> <edge_type>1</edge_type> <source_obj>293</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_353"> <id>384</id> <edge_type>1</edge_type> <source_obj>303</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_354"> <id>385</id> <edge_type>1</edge_type> <source_obj>294</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_355"> <id>386</id> <edge_type>1</edge_type> <source_obj>296</source_obj> <sink_obj>332</sink_obj> </item> <item class_id_reference="20" object_id="_356"> <id>388</id> <edge_type>1</edge_type> <source_obj>387</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_357"> <id>389</id> <edge_type>1</edge_type> <source_obj>313</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_358"> <id>390</id> <edge_type>1</edge_type> <source_obj>316</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_359"> <id>391</id> <edge_type>1</edge_type> <source_obj>303</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_360"> <id>392</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_361"> <id>394</id> <edge_type>1</edge_type> <source_obj>393</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_362"> <id>395</id> <edge_type>1</edge_type> <source_obj>316</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_363"> <id>396</id> <edge_type>1</edge_type> <source_obj>319</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_364"> <id>397</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_365"> <id>398</id> <edge_type>1</edge_type> <source_obj>301</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_366"> <id>400</id> <edge_type>1</edge_type> <source_obj>399</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_367"> <id>401</id> <edge_type>1</edge_type> <source_obj>319</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_368"> <id>402</id> <edge_type>1</edge_type> <source_obj>322</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_369"> <id>403</id> <edge_type>1</edge_type> <source_obj>301</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_370"> <id>404</id> <edge_type>1</edge_type> <source_obj>300</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_371"> <id>406</id> <edge_type>1</edge_type> <source_obj>405</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_372"> <id>407</id> <edge_type>1</edge_type> <source_obj>322</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_373"> <id>408</id> <edge_type>1</edge_type> <source_obj>307</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_374"> <id>409</id> <edge_type>1</edge_type> <source_obj>300</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_375"> <id>410</id> <edge_type>1</edge_type> <source_obj>299</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_376"> <id>412</id> <edge_type>1</edge_type> <source_obj>411</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_377"> <id>413</id> <edge_type>1</edge_type> <source_obj>307</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_378"> <id>414</id> <edge_type>1</edge_type> <source_obj>310</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_379"> <id>415</id> <edge_type>1</edge_type> <source_obj>299</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_380"> <id>416</id> <edge_type>1</edge_type> <source_obj>298</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_381"> <id>418</id> <edge_type>1</edge_type> <source_obj>417</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_382"> <id>419</id> <edge_type>1</edge_type> <source_obj>310</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_383"> <id>420</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_384"> <id>421</id> <edge_type>1</edge_type> <source_obj>298</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_385"> <id>422</id> <edge_type>1</edge_type> <source_obj>297</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_386"> <id>424</id> <edge_type>1</edge_type> <source_obj>423</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_387"> <id>425</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_388"> <id>426</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_389"> <id>427</id> <edge_type>1</edge_type> <source_obj>296</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_390"> <id>428</id> <edge_type>1</edge_type> <source_obj>297</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_391"> <id>429</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_392"> <id>430</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_393"> <id>431</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_394"> <id>432</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_395"> <id>433</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_396"> <id>434</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_397"> <id>435</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_398"> <id>436</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_399"> <id>437</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_400"> <id>438</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_401"> <id>439</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_402"> <id>440</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_403"> <id>441</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_404"> <id>442</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_405"> <id>443</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_406"> <id>444</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_407"> <id>445</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_408"> <id>446</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_409"> <id>447</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_410"> <id>448</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_411"> <id>449</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_412"> <id>450</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_413"> <id>451</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_414"> <id>452</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_415"> <id>453</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_416"> <id>454</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_417"> <id>455</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_418"> <id>456</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_419"> <id>457</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_420"> <id>458</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_421"> <id>459</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_422"> <id>460</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_423"> <id>461</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_424"> <id>462</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_425"> <id>463</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_426"> <id>464</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_427"> <id>465</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_428"> <id>466</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_429"> <id>467</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_430"> <id>468</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_431"> <id>469</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_432"> <id>470</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_433"> <id>471</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_434"> <id>472</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_435"> <id>473</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_436"> <id>474</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_437"> <id>475</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_438"> <id>476</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_439"> <id>477</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_440"> <id>478</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_441"> <id>479</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_442"> <id>480</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_443"> <id>481</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_444"> <id>482</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_445"> <id>483</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_446"> <id>484</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_447"> <id>485</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_448"> <id>486</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_449"> <id>487</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_450"> <id>488</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_451"> <id>489</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_452"> <id>490</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_453"> <id>491</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_454"> <id>492</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_455"> <id>493</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_456"> <id>494</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_457"> <id>495</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_458"> <id>496</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_459"> <id>497</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_460"> <id>498</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_461"> <id>499</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_462"> <id>500</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_463"> <id>501</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_464"> <id>502</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_465"> <id>503</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_466"> <id>504</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_467"> <id>505</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_468"> <id>506</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_469"> <id>507</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_470"> <id>508</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_471"> <id>509</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_472"> <id>510</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_473"> <id>511</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_474"> <id>512</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_475"> <id>513</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_476"> <id>514</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_477"> <id>515</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_478"> <id>516</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_479"> <id>517</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_480"> <id>518</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_481"> <id>519</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_482"> <id>520</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_483"> <id>521</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_484"> <id>522</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_485"> <id>523</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_486"> <id>524</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_487"> <id>525</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_488"> <id>526</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_489"> <id>527</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_490"> <id>528</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_491"> <id>529</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_492"> <id>530</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_493"> <id>531</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_494"> <id>532</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_495"> <id>533</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_496"> <id>534</id> <edge_type>1</edge_type> <source_obj>110</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_497"> <id>535</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_498"> <id>536</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_499"> <id>537</id> <edge_type>1</edge_type> <source_obj>113</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_500"> <id>538</id> <edge_type>1</edge_type> <source_obj>114</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_501"> <id>539</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_502"> <id>540</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_503"> <id>541</id> <edge_type>1</edge_type> <source_obj>117</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_504"> <id>542</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_505"> <id>543</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_506"> <id>544</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_507"> <id>545</id> <edge_type>1</edge_type> <source_obj>121</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_508"> <id>546</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_509"> <id>547</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_510"> <id>548</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_511"> <id>549</id> <edge_type>1</edge_type> <source_obj>125</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_512"> <id>550</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_513"> <id>551</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_514"> <id>552</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_515"> <id>553</id> <edge_type>1</edge_type> <source_obj>129</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_516"> <id>554</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_517"> <id>555</id> <edge_type>1</edge_type> <source_obj>131</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_518"> <id>556</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_519"> <id>557</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_520"> <id>558</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_521"> <id>559</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_522"> <id>560</id> <edge_type>1</edge_type> <source_obj>136</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_523"> <id>561</id> <edge_type>1</edge_type> <source_obj>137</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_524"> <id>562</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_525"> <id>563</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_526"> <id>564</id> <edge_type>1</edge_type> <source_obj>140</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_527"> <id>565</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_528"> <id>566</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_529"> <id>567</id> <edge_type>1</edge_type> <source_obj>143</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_530"> <id>568</id> <edge_type>1</edge_type> <source_obj>144</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_531"> <id>569</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_532"> <id>570</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_533"> <id>571</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_534"> <id>572</id> <edge_type>1</edge_type> <source_obj>148</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_535"> <id>573</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_536"> <id>574</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_537"> <id>575</id> <edge_type>1</edge_type> <source_obj>151</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_538"> <id>576</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_539"> <id>577</id> <edge_type>1</edge_type> <source_obj>153</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_540"> <id>578</id> <edge_type>1</edge_type> <source_obj>154</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_541"> <id>579</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_542"> <id>580</id> <edge_type>1</edge_type> <source_obj>156</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_543"> <id>581</id> <edge_type>1</edge_type> <source_obj>157</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_544"> <id>582</id> <edge_type>1</edge_type> <source_obj>158</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_545"> <id>583</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_546"> <id>584</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_547"> <id>585</id> <edge_type>1</edge_type> <source_obj>161</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_548"> <id>586</id> <edge_type>1</edge_type> <source_obj>162</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_549"> <id>587</id> <edge_type>1</edge_type> <source_obj>163</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_550"> <id>588</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_551"> <id>589</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_552"> <id>590</id> <edge_type>1</edge_type> <source_obj>166</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_553"> <id>591</id> <edge_type>1</edge_type> <source_obj>167</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_554"> <id>592</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_555"> <id>593</id> <edge_type>1</edge_type> <source_obj>169</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_556"> <id>594</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_557"> <id>595</id> <edge_type>1</edge_type> <source_obj>171</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_558"> <id>596</id> <edge_type>1</edge_type> <source_obj>172</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_559"> <id>597</id> <edge_type>1</edge_type> <source_obj>173</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_560"> <id>598</id> <edge_type>1</edge_type> <source_obj>174</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_561"> <id>599</id> <edge_type>1</edge_type> <source_obj>175</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_562"> <id>600</id> <edge_type>1</edge_type> <source_obj>176</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_563"> <id>601</id> <edge_type>1</edge_type> <source_obj>177</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_564"> <id>602</id> <edge_type>1</edge_type> <source_obj>178</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_565"> <id>603</id> <edge_type>1</edge_type> <source_obj>179</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_566"> <id>604</id> <edge_type>1</edge_type> <source_obj>180</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_567"> <id>605</id> <edge_type>1</edge_type> <source_obj>181</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_568"> <id>606</id> <edge_type>1</edge_type> <source_obj>182</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_569"> <id>607</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_570"> <id>608</id> <edge_type>1</edge_type> <source_obj>184</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_571"> <id>609</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_572"> <id>610</id> <edge_type>1</edge_type> <source_obj>186</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_573"> <id>611</id> <edge_type>1</edge_type> <source_obj>187</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_574"> <id>612</id> <edge_type>1</edge_type> <source_obj>188</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_575"> <id>613</id> <edge_type>1</edge_type> <source_obj>189</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_576"> <id>614</id> <edge_type>1</edge_type> <source_obj>190</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_577"> <id>615</id> <edge_type>1</edge_type> <source_obj>191</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_578"> <id>616</id> <edge_type>1</edge_type> <source_obj>192</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_579"> <id>617</id> <edge_type>1</edge_type> <source_obj>193</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_580"> <id>618</id> <edge_type>1</edge_type> <source_obj>194</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_581"> <id>619</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_582"> <id>620</id> <edge_type>1</edge_type> <source_obj>196</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_583"> <id>621</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_584"> <id>622</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_585"> <id>623</id> <edge_type>1</edge_type> <source_obj>199</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_586"> <id>624</id> <edge_type>1</edge_type> <source_obj>200</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_587"> <id>625</id> <edge_type>1</edge_type> <source_obj>201</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_588"> <id>626</id> <edge_type>1</edge_type> <source_obj>202</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_589"> <id>627</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_590"> <id>628</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_591"> <id>629</id> <edge_type>1</edge_type> <source_obj>205</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_592"> <id>630</id> <edge_type>1</edge_type> <source_obj>206</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_593"> <id>631</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_594"> <id>632</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_595"> <id>633</id> <edge_type>1</edge_type> <source_obj>209</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_596"> <id>634</id> <edge_type>1</edge_type> <source_obj>210</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_597"> <id>635</id> <edge_type>1</edge_type> <source_obj>211</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_598"> <id>636</id> <edge_type>1</edge_type> <source_obj>212</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_599"> <id>637</id> <edge_type>1</edge_type> <source_obj>213</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_600"> <id>638</id> <edge_type>1</edge_type> <source_obj>214</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_601"> <id>639</id> <edge_type>1</edge_type> <source_obj>215</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_602"> <id>640</id> <edge_type>1</edge_type> <source_obj>216</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_603"> <id>641</id> <edge_type>1</edge_type> <source_obj>217</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_604"> <id>642</id> <edge_type>1</edge_type> <source_obj>218</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_605"> <id>643</id> <edge_type>1</edge_type> <source_obj>219</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_606"> <id>644</id> <edge_type>1</edge_type> <source_obj>220</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_607"> <id>645</id> <edge_type>1</edge_type> <source_obj>221</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_608"> <id>646</id> <edge_type>1</edge_type> <source_obj>222</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_609"> <id>647</id> <edge_type>1</edge_type> <source_obj>223</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_610"> <id>648</id> <edge_type>1</edge_type> <source_obj>224</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_611"> <id>649</id> <edge_type>1</edge_type> <source_obj>225</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_612"> <id>650</id> <edge_type>1</edge_type> <source_obj>226</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_613"> <id>651</id> <edge_type>1</edge_type> <source_obj>227</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_614"> <id>652</id> <edge_type>1</edge_type> <source_obj>228</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_615"> <id>653</id> <edge_type>1</edge_type> <source_obj>229</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_616"> <id>654</id> <edge_type>1</edge_type> <source_obj>230</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_617"> <id>655</id> <edge_type>1</edge_type> <source_obj>231</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_618"> <id>656</id> <edge_type>1</edge_type> <source_obj>232</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_619"> <id>657</id> <edge_type>1</edge_type> <source_obj>233</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_620"> <id>658</id> <edge_type>1</edge_type> <source_obj>234</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_621"> <id>659</id> <edge_type>1</edge_type> <source_obj>235</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_622"> <id>660</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_623"> <id>661</id> <edge_type>1</edge_type> <source_obj>237</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_624"> <id>662</id> <edge_type>1</edge_type> <source_obj>238</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_625"> <id>663</id> <edge_type>1</edge_type> <source_obj>239</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_626"> <id>664</id> <edge_type>1</edge_type> <source_obj>240</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_627"> <id>665</id> <edge_type>1</edge_type> <source_obj>241</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_628"> <id>666</id> <edge_type>1</edge_type> <source_obj>242</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_629"> <id>667</id> <edge_type>1</edge_type> <source_obj>243</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_630"> <id>668</id> <edge_type>1</edge_type> <source_obj>244</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_631"> <id>669</id> <edge_type>1</edge_type> <source_obj>245</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_632"> <id>670</id> <edge_type>1</edge_type> <source_obj>246</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_633"> <id>671</id> <edge_type>1</edge_type> <source_obj>247</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_634"> <id>672</id> <edge_type>1</edge_type> <source_obj>248</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_635"> <id>673</id> <edge_type>1</edge_type> <source_obj>249</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_636"> <id>674</id> <edge_type>1</edge_type> <source_obj>250</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_637"> <id>675</id> <edge_type>1</edge_type> <source_obj>251</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_638"> <id>676</id> <edge_type>1</edge_type> <source_obj>252</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_639"> <id>677</id> <edge_type>1</edge_type> <source_obj>253</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_640"> <id>678</id> <edge_type>1</edge_type> <source_obj>254</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_641"> <id>679</id> <edge_type>1</edge_type> <source_obj>255</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_642"> <id>680</id> <edge_type>1</edge_type> <source_obj>256</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_643"> <id>681</id> <edge_type>1</edge_type> <source_obj>257</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_644"> <id>682</id> <edge_type>1</edge_type> <source_obj>258</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_645"> <id>683</id> <edge_type>1</edge_type> <source_obj>259</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_646"> <id>684</id> <edge_type>1</edge_type> <source_obj>260</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_647"> <id>685</id> <edge_type>1</edge_type> <source_obj>261</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_648"> <id>686</id> <edge_type>1</edge_type> <source_obj>262</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_649"> <id>687</id> <edge_type>1</edge_type> <source_obj>263</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_650"> <id>688</id> <edge_type>1</edge_type> <source_obj>264</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_651"> <id>689</id> <edge_type>1</edge_type> <source_obj>265</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_652"> <id>690</id> <edge_type>1</edge_type> <source_obj>266</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_653"> <id>691</id> <edge_type>1</edge_type> <source_obj>267</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_654"> <id>692</id> <edge_type>1</edge_type> <source_obj>268</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_655"> <id>693</id> <edge_type>1</edge_type> <source_obj>269</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_656"> <id>694</id> <edge_type>1</edge_type> <source_obj>270</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_657"> <id>695</id> <edge_type>1</edge_type> <source_obj>271</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_658"> <id>696</id> <edge_type>1</edge_type> <source_obj>272</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_659"> <id>697</id> <edge_type>1</edge_type> <source_obj>273</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_660"> <id>698</id> <edge_type>1</edge_type> <source_obj>274</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_661"> <id>699</id> <edge_type>1</edge_type> <source_obj>275</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_662"> <id>700</id> <edge_type>1</edge_type> <source_obj>276</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_663"> <id>701</id> <edge_type>1</edge_type> <source_obj>277</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_664"> <id>702</id> <edge_type>1</edge_type> <source_obj>278</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_665"> <id>703</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_666"> <id>704</id> <edge_type>1</edge_type> <source_obj>280</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_667"> <id>705</id> <edge_type>1</edge_type> <source_obj>281</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_668"> <id>706</id> <edge_type>1</edge_type> <source_obj>282</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_669"> <id>707</id> <edge_type>1</edge_type> <source_obj>283</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_670"> <id>708</id> <edge_type>1</edge_type> <source_obj>284</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_671"> <id>709</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_672"> <id>710</id> <edge_type>1</edge_type> <source_obj>286</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_673"> <id>711</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_674"> <id>712</id> <edge_type>1</edge_type> <source_obj>288</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_675"> <id>713</id> <edge_type>1</edge_type> <source_obj>289</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_676"> <id>714</id> <edge_type>1</edge_type> <source_obj>290</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_677"> <id>715</id> <edge_type>1</edge_type> <source_obj>291</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_678"> <id>716</id> <edge_type>1</edge_type> <source_obj>292</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_679"> <id>1177</id> <edge_type>4</edge_type> <source_obj>338</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_680"> <id>1178</id> <edge_type>4</edge_type> <source_obj>335</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_681"> <id>1179</id> <edge_type>4</edge_type> <source_obj>350</source_obj> <sink_obj>351</sink_obj> </item> <item class_id_reference="20" object_id="_682"> <id>1180</id> <edge_type>4</edge_type> <source_obj>347</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_683"> <id>1181</id> <edge_type>4</edge_type> <source_obj>344</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_684"> <id>1182</id> <edge_type>4</edge_type> <source_obj>341</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_685"> <id>1183</id> <edge_type>4</edge_type> <source_obj>338</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_686"> <id>1184</id> <edge_type>4</edge_type> <source_obj>335</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_687"> <id>1185</id> <edge_type>4</edge_type> <source_obj>332</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_688"> <id>1186</id> <edge_type>4</edge_type> <source_obj>332</source_obj> <sink_obj>335</sink_obj> </item> <item class_id_reference="20" object_id="_689"> <id>1187</id> <edge_type>4</edge_type> <source_obj>335</source_obj> <sink_obj>338</sink_obj> </item> <item class_id_reference="20" object_id="_690"> <id>1188</id> <edge_type>4</edge_type> <source_obj>338</source_obj> <sink_obj>341</sink_obj> </item> <item class_id_reference="20" object_id="_691"> <id>1189</id> <edge_type>4</edge_type> <source_obj>341</source_obj> <sink_obj>344</sink_obj> </item> <item class_id_reference="20" object_id="_692"> <id>1190</id> <edge_type>4</edge_type> <source_obj>344</source_obj> <sink_obj>347</sink_obj> </item> <item class_id_reference="20" object_id="_693"> <id>1191</id> <edge_type>4</edge_type> <source_obj>347</source_obj> <sink_obj>350</sink_obj> </item> <item class_id_reference="20" object_id="_694"> <id>1192</id> <edge_type>4</edge_type> <source_obj>350</source_obj> <sink_obj>351</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_695"> <mId>1</mId> <mTag>DoCompute</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>353</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>-1</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>1</mIsDfPipe> <mDfPipe class_id="23" tracking_level="1" version="0" object_id="_696"> <port_list class_id="24" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port_list> <process_list class_id="25" tracking_level="0" version="0"> <count>8</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_697"> <type>0</type> <name>Mem2Stream_Batch12_U0</name> <ssdmobj_id>332</ssdmobj_id> <pins class_id="27" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_698"> <port class_id="29" tracking_level="1" version="0" object_id="_699"> <name>in_V</name> <dir>1</dir> <type>0</type> </port> <inst class_id="30" tracking_level="1" version="0" object_id="_700"> <type>0</type> <name>Mem2Stream_Batch12_U0</name> <ssdmobj_id>332</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_701"> <port class_id_reference="29" object_id="_702"> <name>in_V1</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> <item class_id_reference="28" object_id="_703"> <port class_id_reference="29" object_id="_704"> <name>memInStrm_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> <item class_id_reference="28" object_id="_705"> <port class_id_reference="29" object_id="_706"> <name>numReps</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> <item class_id_reference="28" object_id="_707"> <port class_id_reference="29" object_id="_708"> <name>numReps_channel</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> <item class_id_reference="28" object_id="_709"> <port class_id_reference="29" object_id="_710"> <name>out_V3</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> <item class_id_reference="28" object_id="_711"> <port class_id_reference="29" object_id="_712"> <name>out_V3_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_713"> <type>0</type> <name>Matrix_Vector_Activa_U0</name> <ssdmobj_id>335</ssdmobj_id> <pins> <count>68</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_714"> <port class_id_reference="29" object_id="_715"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_716"> <type>0</type> <name>Matrix_Vector_Activa_U0</name> <ssdmobj_id>335</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_717"> <port class_id_reference="29" object_id="_718"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_719"> <port class_id_reference="29" object_id="_720"> <name>reps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_721"> <port class_id_reference="29" object_id="_722"> <name>reps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_723"> <port class_id_reference="29" object_id="_724"> <name>weights0_m_weights_V</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_725"> <port class_id_reference="29" object_id="_726"> <name>weights0_m_weights_V_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_727"> <port class_id_reference="29" object_id="_728"> <name>weights0_m_weights_V_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_729"> <port class_id_reference="29" object_id="_730"> <name>weights0_m_weights_V_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_731"> <port class_id_reference="29" object_id="_732"> <name>weights0_m_weights_V_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_733"> <port class_id_reference="29" object_id="_734"> <name>weights0_m_weights_V_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_735"> <port class_id_reference="29" object_id="_736"> <name>weights0_m_weights_V_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_737"> <port class_id_reference="29" object_id="_738"> <name>weights0_m_weights_V_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_739"> <port class_id_reference="29" object_id="_740"> <name>weights0_m_weights_V_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_741"> <port class_id_reference="29" object_id="_742"> <name>weights0_m_weights_V_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_743"> <port class_id_reference="29" object_id="_744"> <name>weights0_m_weights_V_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_745"> <port class_id_reference="29" object_id="_746"> <name>weights0_m_weights_V_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_747"> <port class_id_reference="29" object_id="_748"> <name>weights0_m_weights_V_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_749"> <port class_id_reference="29" object_id="_750"> <name>weights0_m_weights_V_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_751"> <port class_id_reference="29" object_id="_752"> <name>weights0_m_weights_V_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_753"> <port class_id_reference="29" object_id="_754"> <name>weights0_m_weights_V_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_755"> <port class_id_reference="29" object_id="_756"> <name>weights0_m_weights_V_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_757"> <port class_id_reference="29" object_id="_758"> <name>weights0_m_weights_V_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_759"> <port class_id_reference="29" object_id="_760"> <name>weights0_m_weights_V_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_761"> <port class_id_reference="29" object_id="_762"> <name>weights0_m_weights_V_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_763"> <port class_id_reference="29" object_id="_764"> <name>weights0_m_weights_V_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_765"> <port class_id_reference="29" object_id="_766"> <name>weights0_m_weights_V_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_767"> <port class_id_reference="29" object_id="_768"> <name>weights0_m_weights_V_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_769"> <port class_id_reference="29" object_id="_770"> <name>weights0_m_weights_V_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_771"> <port class_id_reference="29" object_id="_772"> <name>weights0_m_weights_V_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_773"> <port class_id_reference="29" object_id="_774"> <name>weights0_m_weights_V_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_775"> <port class_id_reference="29" object_id="_776"> <name>weights0_m_weights_V_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_777"> <port class_id_reference="29" object_id="_778"> <name>weights0_m_weights_V_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_779"> <port class_id_reference="29" object_id="_780"> <name>weights0_m_weights_V_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_781"> <port class_id_reference="29" object_id="_782"> <name>weights0_m_weights_V_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_783"> <port class_id_reference="29" object_id="_784"> <name>weights0_m_weights_V_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_785"> <port class_id_reference="29" object_id="_786"> <name>weights0_m_weights_V_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_787"> <port class_id_reference="29" object_id="_788"> <name>threshs0_m_threshold_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_789"> <port class_id_reference="29" object_id="_790"> <name>threshs0_m_threshold_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_791"> <port class_id_reference="29" object_id="_792"> <name>threshs0_m_threshold_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_793"> <port class_id_reference="29" object_id="_794"> <name>threshs0_m_threshold_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_795"> <port class_id_reference="29" object_id="_796"> <name>threshs0_m_threshold_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_797"> <port class_id_reference="29" object_id="_798"> <name>threshs0_m_threshold_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_799"> <port class_id_reference="29" object_id="_800"> <name>threshs0_m_threshold_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_801"> <port class_id_reference="29" object_id="_802"> <name>threshs0_m_threshold_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_803"> <port class_id_reference="29" object_id="_804"> <name>threshs0_m_threshold_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_805"> <port class_id_reference="29" object_id="_806"> <name>threshs0_m_threshold</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_807"> <port class_id_reference="29" object_id="_808"> <name>threshs0_m_threshold_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_809"> <port class_id_reference="29" object_id="_810"> <name>threshs0_m_threshold_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_811"> <port class_id_reference="29" object_id="_812"> <name>threshs0_m_threshold_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_813"> <port class_id_reference="29" object_id="_814"> <name>threshs0_m_threshold_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_815"> <port class_id_reference="29" object_id="_816"> <name>threshs0_m_threshold_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_817"> <port class_id_reference="29" object_id="_818"> <name>threshs0_m_threshold_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_819"> <port class_id_reference="29" object_id="_820"> <name>threshs0_m_threshold_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_821"> <port class_id_reference="29" object_id="_822"> <name>threshs0_m_threshold_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_823"> <port class_id_reference="29" object_id="_824"> <name>threshs0_m_threshold_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_825"> <port class_id_reference="29" object_id="_826"> <name>threshs0_m_threshold_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_827"> <port class_id_reference="29" object_id="_828"> <name>threshs0_m_threshold_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_829"> <port class_id_reference="29" object_id="_830"> <name>threshs0_m_threshold_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_831"> <port class_id_reference="29" object_id="_832"> <name>threshs0_m_threshold_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_833"> <port class_id_reference="29" object_id="_834"> <name>threshs0_m_threshold_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_835"> <port class_id_reference="29" object_id="_836"> <name>threshs0_m_threshold_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_837"> <port class_id_reference="29" object_id="_838"> <name>threshs0_m_threshold_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_839"> <port class_id_reference="29" object_id="_840"> <name>threshs0_m_threshold_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_841"> <port class_id_reference="29" object_id="_842"> <name>threshs0_m_threshold_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_843"> <port class_id_reference="29" object_id="_844"> <name>threshs0_m_threshold_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_845"> <port class_id_reference="29" object_id="_846"> <name>threshs0_m_threshold_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_847"> <port class_id_reference="29" object_id="_848"> <name>threshs0_m_threshold_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> <item class_id_reference="28" object_id="_849"> <port class_id_reference="29" object_id="_850"> <name>threshs0_m_threshold_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_851"> <type>0</type> <name>Matrix_Vector_Activa_3_U0</name> <ssdmobj_id>338</ssdmobj_id> <pins> <count>132</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_852"> <port class_id_reference="29" object_id="_853"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_854"> <type>0</type> <name>Matrix_Vector_Activa_3_U0</name> <ssdmobj_id>338</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_855"> <port class_id_reference="29" object_id="_856"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_857"> <port class_id_reference="29" object_id="_858"> <name>reps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_859"> <port class_id_reference="29" object_id="_860"> <name>reps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_861"> <port class_id_reference="29" object_id="_862"> <name>weights1_m_weights_V</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_863"> <port class_id_reference="29" object_id="_864"> <name>weights1_m_weights_V_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_865"> <port class_id_reference="29" object_id="_866"> <name>weights1_m_weights_V_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_867"> <port class_id_reference="29" object_id="_868"> <name>weights1_m_weights_V_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_869"> <port class_id_reference="29" object_id="_870"> <name>weights1_m_weights_V_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_871"> <port class_id_reference="29" object_id="_872"> <name>weights1_m_weights_V_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_873"> <port class_id_reference="29" object_id="_874"> <name>weights1_m_weights_V_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_875"> <port class_id_reference="29" object_id="_876"> <name>weights1_m_weights_V_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_877"> <port class_id_reference="29" object_id="_878"> <name>weights1_m_weights_V_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_879"> <port class_id_reference="29" object_id="_880"> <name>weights1_m_weights_V_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_881"> <port class_id_reference="29" object_id="_882"> <name>weights1_m_weights_V_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_883"> <port class_id_reference="29" object_id="_884"> <name>weights1_m_weights_V_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_885"> <port class_id_reference="29" object_id="_886"> <name>weights1_m_weights_V_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_887"> <port class_id_reference="29" object_id="_888"> <name>weights1_m_weights_V_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_889"> <port class_id_reference="29" object_id="_890"> <name>weights1_m_weights_V_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_891"> <port class_id_reference="29" object_id="_892"> <name>weights1_m_weights_V_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_893"> <port class_id_reference="29" object_id="_894"> <name>weights1_m_weights_V_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_895"> <port class_id_reference="29" object_id="_896"> <name>weights1_m_weights_V_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_897"> <port class_id_reference="29" object_id="_898"> <name>weights1_m_weights_V_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_899"> <port class_id_reference="29" object_id="_900"> <name>weights1_m_weights_V_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_901"> <port class_id_reference="29" object_id="_902"> <name>weights1_m_weights_V_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_903"> <port class_id_reference="29" object_id="_904"> <name>weights1_m_weights_V_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_905"> <port class_id_reference="29" object_id="_906"> <name>weights1_m_weights_V_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_907"> <port class_id_reference="29" object_id="_908"> <name>weights1_m_weights_V_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_909"> <port class_id_reference="29" object_id="_910"> <name>weights1_m_weights_V_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_911"> <port class_id_reference="29" object_id="_912"> <name>weights1_m_weights_V_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_913"> <port class_id_reference="29" object_id="_914"> <name>weights1_m_weights_V_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_915"> <port class_id_reference="29" object_id="_916"> <name>weights1_m_weights_V_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_917"> <port class_id_reference="29" object_id="_918"> <name>weights1_m_weights_V_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_919"> <port class_id_reference="29" object_id="_920"> <name>weights1_m_weights_V_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_921"> <port class_id_reference="29" object_id="_922"> <name>weights1_m_weights_V_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_923"> <port class_id_reference="29" object_id="_924"> <name>weights1_m_weights_V_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_925"> <port class_id_reference="29" object_id="_926"> <name>weights1_m_weights_V_32</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_927"> <port class_id_reference="29" object_id="_928"> <name>weights1_m_weights_V_33</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_929"> <port class_id_reference="29" object_id="_930"> <name>weights1_m_weights_V_34</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_931"> <port class_id_reference="29" object_id="_932"> <name>weights1_m_weights_V_35</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_933"> <port class_id_reference="29" object_id="_934"> <name>weights1_m_weights_V_36</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_935"> <port class_id_reference="29" object_id="_936"> <name>weights1_m_weights_V_37</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_937"> <port class_id_reference="29" object_id="_938"> <name>weights1_m_weights_V_38</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_939"> <port class_id_reference="29" object_id="_940"> <name>weights1_m_weights_V_39</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_941"> <port class_id_reference="29" object_id="_942"> <name>weights1_m_weights_V_40</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_943"> <port class_id_reference="29" object_id="_944"> <name>weights1_m_weights_V_41</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_945"> <port class_id_reference="29" object_id="_946"> <name>weights1_m_weights_V_42</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_947"> <port class_id_reference="29" object_id="_948"> <name>weights1_m_weights_V_43</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_949"> <port class_id_reference="29" object_id="_950"> <name>weights1_m_weights_V_44</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_951"> <port class_id_reference="29" object_id="_952"> <name>weights1_m_weights_V_45</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_953"> <port class_id_reference="29" object_id="_954"> <name>weights1_m_weights_V_46</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_955"> <port class_id_reference="29" object_id="_956"> <name>weights1_m_weights_V_47</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_957"> <port class_id_reference="29" object_id="_958"> <name>weights1_m_weights_V_48</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_959"> <port class_id_reference="29" object_id="_960"> <name>weights1_m_weights_V_49</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_961"> <port class_id_reference="29" object_id="_962"> <name>weights1_m_weights_V_50</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_963"> <port class_id_reference="29" object_id="_964"> <name>weights1_m_weights_V_51</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_965"> <port class_id_reference="29" object_id="_966"> <name>weights1_m_weights_V_52</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_967"> <port class_id_reference="29" object_id="_968"> <name>weights1_m_weights_V_53</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_969"> <port class_id_reference="29" object_id="_970"> <name>weights1_m_weights_V_54</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_971"> <port class_id_reference="29" object_id="_972"> <name>weights1_m_weights_V_55</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_973"> <port class_id_reference="29" object_id="_974"> <name>weights1_m_weights_V_56</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_975"> <port class_id_reference="29" object_id="_976"> <name>weights1_m_weights_V_57</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_977"> <port class_id_reference="29" object_id="_978"> <name>weights1_m_weights_V_58</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_979"> <port class_id_reference="29" object_id="_980"> <name>weights1_m_weights_V_59</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_981"> <port class_id_reference="29" object_id="_982"> <name>weights1_m_weights_V_60</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_983"> <port class_id_reference="29" object_id="_984"> <name>weights1_m_weights_V_61</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_985"> <port class_id_reference="29" object_id="_986"> <name>weights1_m_weights_V_62</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_987"> <port class_id_reference="29" object_id="_988"> <name>weights1_m_weights_V_63</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_989"> <port class_id_reference="29" object_id="_990"> <name>threshs1_m_threshold_63</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_991"> <port class_id_reference="29" object_id="_992"> <name>threshs1_m_threshold_62</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_993"> <port class_id_reference="29" object_id="_994"> <name>threshs1_m_threshold_51</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_995"> <port class_id_reference="29" object_id="_996"> <name>threshs1_m_threshold_40</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_997"> <port class_id_reference="29" object_id="_998"> <name>threshs1_m_threshold_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_999"> <port class_id_reference="29" object_id="_1000"> <name>threshs1_m_threshold_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1001"> <port class_id_reference="29" object_id="_1002"> <name>threshs1_m_threshold_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1003"> <port class_id_reference="29" object_id="_1004"> <name>threshs1_m_threshold_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1005"> <port class_id_reference="29" object_id="_1006"> <name>threshs1_m_threshold_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1007"> <port class_id_reference="29" object_id="_1008"> <name>threshs1_m_threshold</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1009"> <port class_id_reference="29" object_id="_1010"> <name>threshs1_m_threshold_61</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1011"> <port class_id_reference="29" object_id="_1012"> <name>threshs1_m_threshold_60</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1013"> <port class_id_reference="29" object_id="_1014"> <name>threshs1_m_threshold_59</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1015"> <port class_id_reference="29" object_id="_1016"> <name>threshs1_m_threshold_58</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1017"> <port class_id_reference="29" object_id="_1018"> <name>threshs1_m_threshold_57</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1019"> <port class_id_reference="29" object_id="_1020"> <name>threshs1_m_threshold_56</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1021"> <port class_id_reference="29" object_id="_1022"> <name>threshs1_m_threshold_55</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1023"> <port class_id_reference="29" object_id="_1024"> <name>threshs1_m_threshold_54</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1025"> <port class_id_reference="29" object_id="_1026"> <name>threshs1_m_threshold_53</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1027"> <port class_id_reference="29" object_id="_1028"> <name>threshs1_m_threshold_52</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1029"> <port class_id_reference="29" object_id="_1030"> <name>threshs1_m_threshold_50</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1031"> <port class_id_reference="29" object_id="_1032"> <name>threshs1_m_threshold_49</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1033"> <port class_id_reference="29" object_id="_1034"> <name>threshs1_m_threshold_48</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1035"> <port class_id_reference="29" object_id="_1036"> <name>threshs1_m_threshold_47</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1037"> <port class_id_reference="29" object_id="_1038"> <name>threshs1_m_threshold_46</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1039"> <port class_id_reference="29" object_id="_1040"> <name>threshs1_m_threshold_45</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1041"> <port class_id_reference="29" object_id="_1042"> <name>threshs1_m_threshold_44</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1043"> <port class_id_reference="29" object_id="_1044"> <name>threshs1_m_threshold_43</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1045"> <port class_id_reference="29" object_id="_1046"> <name>threshs1_m_threshold_42</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1047"> <port class_id_reference="29" object_id="_1048"> <name>threshs1_m_threshold_41</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1049"> <port class_id_reference="29" object_id="_1050"> <name>threshs1_m_threshold_39</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1051"> <port class_id_reference="29" object_id="_1052"> <name>threshs1_m_threshold_38</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1053"> <port class_id_reference="29" object_id="_1054"> <name>threshs1_m_threshold_37</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1055"> <port class_id_reference="29" object_id="_1056"> <name>threshs1_m_threshold_36</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1057"> <port class_id_reference="29" object_id="_1058"> <name>threshs1_m_threshold_35</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1059"> <port class_id_reference="29" object_id="_1060"> <name>threshs1_m_threshold_34</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1061"> <port class_id_reference="29" object_id="_1062"> <name>threshs1_m_threshold_33</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1063"> <port class_id_reference="29" object_id="_1064"> <name>threshs1_m_threshold_32</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1065"> <port class_id_reference="29" object_id="_1066"> <name>threshs1_m_threshold_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1067"> <port class_id_reference="29" object_id="_1068"> <name>threshs1_m_threshold_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1069"> <port class_id_reference="29" object_id="_1070"> <name>threshs1_m_threshold_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1071"> <port class_id_reference="29" object_id="_1072"> <name>threshs1_m_threshold_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1073"> <port class_id_reference="29" object_id="_1074"> <name>threshs1_m_threshold_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1075"> <port class_id_reference="29" object_id="_1076"> <name>threshs1_m_threshold_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1077"> <port class_id_reference="29" object_id="_1078"> <name>threshs1_m_threshold_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1079"> <port class_id_reference="29" object_id="_1080"> <name>threshs1_m_threshold_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1081"> <port class_id_reference="29" object_id="_1082"> <name>threshs1_m_threshold_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1083"> <port class_id_reference="29" object_id="_1084"> <name>threshs1_m_threshold_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1085"> <port class_id_reference="29" object_id="_1086"> <name>threshs1_m_threshold_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1087"> <port class_id_reference="29" object_id="_1088"> <name>threshs1_m_threshold_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1089"> <port class_id_reference="29" object_id="_1090"> <name>threshs1_m_threshold_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1091"> <port class_id_reference="29" object_id="_1092"> <name>threshs1_m_threshold_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1093"> <port class_id_reference="29" object_id="_1094"> <name>threshs1_m_threshold_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1095"> <port class_id_reference="29" object_id="_1096"> <name>threshs1_m_threshold_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1097"> <port class_id_reference="29" object_id="_1098"> <name>threshs1_m_threshold_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1099"> <port class_id_reference="29" object_id="_1100"> <name>threshs1_m_threshold_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1101"> <port class_id_reference="29" object_id="_1102"> <name>threshs1_m_threshold_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1103"> <port class_id_reference="29" object_id="_1104"> <name>threshs1_m_threshold_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1105"> <port class_id_reference="29" object_id="_1106"> <name>threshs1_m_threshold_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1107"> <port class_id_reference="29" object_id="_1108"> <name>threshs1_m_threshold_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1109"> <port class_id_reference="29" object_id="_1110"> <name>threshs1_m_threshold_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1111"> <port class_id_reference="29" object_id="_1112"> <name>threshs1_m_threshold_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1113"> <port class_id_reference="29" object_id="_1114"> <name>threshs1_m_threshold_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> <item class_id_reference="28" object_id="_1115"> <port class_id_reference="29" object_id="_1116"> <name>threshs1_m_threshold_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_1117"> <type>0</type> <name>Matrix_Vector_Activa_2_U0</name> <ssdmobj_id>341</ssdmobj_id> <pins> <count>68</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_1118"> <port class_id_reference="29" object_id="_1119"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_1120"> <type>0</type> <name>Matrix_Vector_Activa_2_U0</name> <ssdmobj_id>341</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_1121"> <port class_id_reference="29" object_id="_1122"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1123"> <port class_id_reference="29" object_id="_1124"> <name>reps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1125"> <port class_id_reference="29" object_id="_1126"> <name>reps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1127"> <port class_id_reference="29" object_id="_1128"> <name>weights2_m_weights_V</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1129"> <port class_id_reference="29" object_id="_1130"> <name>weights2_m_weights_V_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1131"> <port class_id_reference="29" object_id="_1132"> <name>weights2_m_weights_V_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1133"> <port class_id_reference="29" object_id="_1134"> <name>weights2_m_weights_V_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1135"> <port class_id_reference="29" object_id="_1136"> <name>weights2_m_weights_V_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1137"> <port class_id_reference="29" object_id="_1138"> <name>weights2_m_weights_V_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1139"> <port class_id_reference="29" object_id="_1140"> <name>weights2_m_weights_V_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1141"> <port class_id_reference="29" object_id="_1142"> <name>weights2_m_weights_V_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1143"> <port class_id_reference="29" object_id="_1144"> <name>weights2_m_weights_V_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1145"> <port class_id_reference="29" object_id="_1146"> <name>weights2_m_weights_V_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1147"> <port class_id_reference="29" object_id="_1148"> <name>weights2_m_weights_V_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1149"> <port class_id_reference="29" object_id="_1150"> <name>weights2_m_weights_V_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1151"> <port class_id_reference="29" object_id="_1152"> <name>weights2_m_weights_V_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1153"> <port class_id_reference="29" object_id="_1154"> <name>weights2_m_weights_V_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1155"> <port class_id_reference="29" object_id="_1156"> <name>weights2_m_weights_V_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1157"> <port class_id_reference="29" object_id="_1158"> <name>weights2_m_weights_V_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1159"> <port class_id_reference="29" object_id="_1160"> <name>weights2_m_weights_V_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1161"> <port class_id_reference="29" object_id="_1162"> <name>weights2_m_weights_V_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1163"> <port class_id_reference="29" object_id="_1164"> <name>weights2_m_weights_V_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1165"> <port class_id_reference="29" object_id="_1166"> <name>weights2_m_weights_V_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1167"> <port class_id_reference="29" object_id="_1168"> <name>weights2_m_weights_V_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1169"> <port class_id_reference="29" object_id="_1170"> <name>weights2_m_weights_V_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1171"> <port class_id_reference="29" object_id="_1172"> <name>weights2_m_weights_V_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1173"> <port class_id_reference="29" object_id="_1174"> <name>weights2_m_weights_V_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1175"> <port class_id_reference="29" object_id="_1176"> <name>weights2_m_weights_V_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1177"> <port class_id_reference="29" object_id="_1178"> <name>weights2_m_weights_V_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1179"> <port class_id_reference="29" object_id="_1180"> <name>weights2_m_weights_V_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1181"> <port class_id_reference="29" object_id="_1182"> <name>weights2_m_weights_V_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1183"> <port class_id_reference="29" object_id="_1184"> <name>weights2_m_weights_V_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1185"> <port class_id_reference="29" object_id="_1186"> <name>weights2_m_weights_V_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1187"> <port class_id_reference="29" object_id="_1188"> <name>weights2_m_weights_V_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1189"> <port class_id_reference="29" object_id="_1190"> <name>weights2_m_weights_V_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1191"> <port class_id_reference="29" object_id="_1192"> <name>threshs2_m_threshold_31</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1193"> <port class_id_reference="29" object_id="_1194"> <name>threshs2_m_threshold_30</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1195"> <port class_id_reference="29" object_id="_1196"> <name>threshs2_m_threshold_19</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1197"> <port class_id_reference="29" object_id="_1198"> <name>threshs2_m_threshold_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1199"> <port class_id_reference="29" object_id="_1200"> <name>threshs2_m_threshold_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1201"> <port class_id_reference="29" object_id="_1202"> <name>threshs2_m_threshold_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1203"> <port class_id_reference="29" object_id="_1204"> <name>threshs2_m_threshold_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1205"> <port class_id_reference="29" object_id="_1206"> <name>threshs2_m_threshold_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1207"> <port class_id_reference="29" object_id="_1208"> <name>threshs2_m_threshold_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1209"> <port class_id_reference="29" object_id="_1210"> <name>threshs2_m_threshold</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1211"> <port class_id_reference="29" object_id="_1212"> <name>threshs2_m_threshold_29</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1213"> <port class_id_reference="29" object_id="_1214"> <name>threshs2_m_threshold_28</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1215"> <port class_id_reference="29" object_id="_1216"> <name>threshs2_m_threshold_27</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1217"> <port class_id_reference="29" object_id="_1218"> <name>threshs2_m_threshold_26</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1219"> <port class_id_reference="29" object_id="_1220"> <name>threshs2_m_threshold_25</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1221"> <port class_id_reference="29" object_id="_1222"> <name>threshs2_m_threshold_24</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1223"> <port class_id_reference="29" object_id="_1224"> <name>threshs2_m_threshold_23</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1225"> <port class_id_reference="29" object_id="_1226"> <name>threshs2_m_threshold_22</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1227"> <port class_id_reference="29" object_id="_1228"> <name>threshs2_m_threshold_21</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1229"> <port class_id_reference="29" object_id="_1230"> <name>threshs2_m_threshold_20</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1231"> <port class_id_reference="29" object_id="_1232"> <name>threshs2_m_threshold_18</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1233"> <port class_id_reference="29" object_id="_1234"> <name>threshs2_m_threshold_17</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1235"> <port class_id_reference="29" object_id="_1236"> <name>threshs2_m_threshold_16</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1237"> <port class_id_reference="29" object_id="_1238"> <name>threshs2_m_threshold_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1239"> <port class_id_reference="29" object_id="_1240"> <name>threshs2_m_threshold_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1241"> <port class_id_reference="29" object_id="_1242"> <name>threshs2_m_threshold_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1243"> <port class_id_reference="29" object_id="_1244"> <name>threshs2_m_threshold_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1245"> <port class_id_reference="29" object_id="_1246"> <name>threshs2_m_threshold_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1247"> <port class_id_reference="29" object_id="_1248"> <name>threshs2_m_threshold_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1249"> <port class_id_reference="29" object_id="_1250"> <name>threshs2_m_threshold_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1251"> <port class_id_reference="29" object_id="_1252"> <name>threshs2_m_threshold_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> <item class_id_reference="28" object_id="_1253"> <port class_id_reference="29" object_id="_1254"> <name>threshs2_m_threshold_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_1255"> <type>0</type> <name>StreamingDataWidthCo_U0</name> <ssdmobj_id>344</ssdmobj_id> <pins> <count>4</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_1256"> <port class_id_reference="29" object_id="_1257"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_1258"> <type>0</type> <name>StreamingDataWidthCo_U0</name> <ssdmobj_id>344</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_1259"> <port class_id_reference="29" object_id="_1260"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </item> <item class_id_reference="28" object_id="_1261"> <port class_id_reference="29" object_id="_1262"> <name>numReps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </item> <item class_id_reference="28" object_id="_1263"> <port class_id_reference="29" object_id="_1264"> <name>numReps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_1265"> <type>0</type> <name>Matrix_Vector_Activa_1_U0</name> <ssdmobj_id>347</ssdmobj_id> <pins> <count>36</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_1266"> <port class_id_reference="29" object_id="_1267"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_1268"> <type>0</type> <name>Matrix_Vector_Activa_1_U0</name> <ssdmobj_id>347</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_1269"> <port class_id_reference="29" object_id="_1270"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1271"> <port class_id_reference="29" object_id="_1272"> <name>reps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1273"> <port class_id_reference="29" object_id="_1274"> <name>reps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1275"> <port class_id_reference="29" object_id="_1276"> <name>weights3_m_weights_V</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1277"> <port class_id_reference="29" object_id="_1278"> <name>weights3_m_weights_V_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1279"> <port class_id_reference="29" object_id="_1280"> <name>weights3_m_weights_V_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1281"> <port class_id_reference="29" object_id="_1282"> <name>weights3_m_weights_V_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1283"> <port class_id_reference="29" object_id="_1284"> <name>weights3_m_weights_V_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1285"> <port class_id_reference="29" object_id="_1286"> <name>weights3_m_weights_V_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1287"> <port class_id_reference="29" object_id="_1288"> <name>weights3_m_weights_V_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1289"> <port class_id_reference="29" object_id="_1290"> <name>weights3_m_weights_V_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1291"> <port class_id_reference="29" object_id="_1292"> <name>weights3_m_weights_V_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1293"> <port class_id_reference="29" object_id="_1294"> <name>weights3_m_weights_V_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1295"> <port class_id_reference="29" object_id="_1296"> <name>weights3_m_weights_V_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1297"> <port class_id_reference="29" object_id="_1298"> <name>weights3_m_weights_V_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1299"> <port class_id_reference="29" object_id="_1300"> <name>weights3_m_weights_V_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1301"> <port class_id_reference="29" object_id="_1302"> <name>weights3_m_weights_V_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1303"> <port class_id_reference="29" object_id="_1304"> <name>weights3_m_weights_V_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1305"> <port class_id_reference="29" object_id="_1306"> <name>weights3_m_weights_V_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1307"> <port class_id_reference="29" object_id="_1308"> <name>threshs3_m_threshold_15</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1309"> <port class_id_reference="29" object_id="_1310"> <name>threshs3_m_threshold_14</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1311"> <port class_id_reference="29" object_id="_1312"> <name>threshs3_m_threshold_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1313"> <port class_id_reference="29" object_id="_1314"> <name>threshs3_m_threshold_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1315"> <port class_id_reference="29" object_id="_1316"> <name>threshs3_m_threshold_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1317"> <port class_id_reference="29" object_id="_1318"> <name>threshs3_m_threshold_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1319"> <port class_id_reference="29" object_id="_1320"> <name>threshs3_m_threshold_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1321"> <port class_id_reference="29" object_id="_1322"> <name>threshs3_m_threshold_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1323"> <port class_id_reference="29" object_id="_1324"> <name>threshs3_m_threshold_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1325"> <port class_id_reference="29" object_id="_1326"> <name>threshs3_m_threshold</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1327"> <port class_id_reference="29" object_id="_1328"> <name>threshs3_m_threshold_13</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1329"> <port class_id_reference="29" object_id="_1330"> <name>threshs3_m_threshold_12</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1331"> <port class_id_reference="29" object_id="_1332"> <name>threshs3_m_threshold_11</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1333"> <port class_id_reference="29" object_id="_1334"> <name>threshs3_m_threshold_10</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1335"> <port class_id_reference="29" object_id="_1336"> <name>threshs3_m_threshold_9</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> <item class_id_reference="28" object_id="_1337"> <port class_id_reference="29" object_id="_1338"> <name>threshs3_m_threshold_8</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_1339"> <type>0</type> <name>StreamingDataWidthCo_1_U0</name> <ssdmobj_id>350</ssdmobj_id> <pins> <count>4</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_1340"> <port class_id_reference="29" object_id="_1341"> <name>in_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_1342"> <type>0</type> <name>StreamingDataWidthCo_1_U0</name> <ssdmobj_id>350</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_1343"> <port class_id_reference="29" object_id="_1344"> <name>out_V_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </item> <item class_id_reference="28" object_id="_1345"> <port class_id_reference="29" object_id="_1346"> <name>numReps</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </item> <item class_id_reference="28" object_id="_1347"> <port class_id_reference="29" object_id="_1348"> <name>numReps_out</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_1349"> <type>0</type> <name>Stream2Mem_Batch_U0</name> <ssdmobj_id>351</ssdmobj_id> <pins> <count>4</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_1350"> <port class_id_reference="29" object_id="_1351"> <name>memOutStrm_V_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_1352"> <type>0</type> <name>Stream2Mem_Batch_U0</name> <ssdmobj_id>351</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_1353"> <port class_id_reference="29" object_id="_1354"> <name>in_V</name> <dir>1</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </item> <item class_id_reference="28" object_id="_1355"> <port class_id_reference="29" object_id="_1356"> <name>out_V3</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </item> <item class_id_reference="28" object_id="_1357"> <port class_id_reference="29" object_id="_1358"> <name>numReps_channel22</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </item> </pins> </item> </process_list> <channel_list class_id="31" tracking_level="0" version="0"> <count>15</count> <item_version>0</item_version> <item class_id="32" tracking_level="1" version="0" object_id="_1359"> <type>1</type> <name>memInStrm_V_V</name> <ssdmobj_id>313</ssdmobj_id> <ctype>0</ctype> <depth>1024</depth> <bitwidth>64</bitwidth> <source class_id_reference="28" object_id="_1360"> <port class_id_reference="29" object_id="_1361"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </source> <sink class_id_reference="28" object_id="_1362"> <port class_id_reference="29" object_id="_1363"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </sink> </item> <item class_id_reference="32" object_id="_1364"> <type>1</type> <name>numReps_channel</name> <ssdmobj_id>303</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1365"> <port class_id_reference="29" object_id="_1366"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </source> <sink class_id_reference="28" object_id="_1367"> <port class_id_reference="29" object_id="_1368"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </sink> </item> <item class_id_reference="32" object_id="_1369"> <type>1</type> <name>out_V3_channel</name> <ssdmobj_id>296</ssdmobj_id> <ctype>0</ctype> <depth>7</depth> <bitwidth>61</bitwidth> <source class_id_reference="28" object_id="_1370"> <port class_id_reference="29" object_id="_1371"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_700"></inst> </source> <sink class_id_reference="28" object_id="_1372"> <port class_id_reference="29" object_id="_1373"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </sink> </item> <item class_id_reference="32" object_id="_1374"> <type>1</type> <name>inter0_V_V</name> <ssdmobj_id>316</ssdmobj_id> <ctype>0</ctype> <depth>16</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1375"> <port class_id_reference="29" object_id="_1376"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </source> <sink class_id_reference="28" object_id="_1377"> <port class_id_reference="29" object_id="_1378"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </sink> </item> <item class_id_reference="32" object_id="_1379"> <type>1</type> <name>numReps_channel17</name> <ssdmobj_id>302</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1380"> <port class_id_reference="29" object_id="_1381"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_716"></inst> </source> <sink class_id_reference="28" object_id="_1382"> <port class_id_reference="29" object_id="_1383"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </sink> </item> <item class_id_reference="32" object_id="_1384"> <type>1</type> <name>inter1_V_V</name> <ssdmobj_id>319</ssdmobj_id> <ctype>0</ctype> <depth>8</depth> <bitwidth>64</bitwidth> <source class_id_reference="28" object_id="_1385"> <port class_id_reference="29" object_id="_1386"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </source> <sink class_id_reference="28" object_id="_1387"> <port class_id_reference="29" object_id="_1388"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </sink> </item> <item class_id_reference="32" object_id="_1389"> <type>1</type> <name>numReps_channel18</name> <ssdmobj_id>301</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1390"> <port class_id_reference="29" object_id="_1391"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_854"></inst> </source> <sink class_id_reference="28" object_id="_1392"> <port class_id_reference="29" object_id="_1393"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </sink> </item> <item class_id_reference="32" object_id="_1394"> <type>1</type> <name>inter2_V_V</name> <ssdmobj_id>322</ssdmobj_id> <ctype>0</ctype> <depth>16</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1395"> <port class_id_reference="29" object_id="_1396"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </source> <sink class_id_reference="28" object_id="_1397"> <port class_id_reference="29" object_id="_1398"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </sink> </item> <item class_id_reference="32" object_id="_1399"> <type>1</type> <name>numReps_channel19</name> <ssdmobj_id>300</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1400"> <port class_id_reference="29" object_id="_1401"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1120"></inst> </source> <sink class_id_reference="28" object_id="_1402"> <port class_id_reference="29" object_id="_1403"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </sink> </item> <item class_id_reference="32" object_id="_1404"> <type>1</type> <name>wa_in_m_target_V_V</name> <ssdmobj_id>307</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_1405"> <port class_id_reference="29" object_id="_1406"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </source> <sink class_id_reference="28" object_id="_1407"> <port class_id_reference="29" object_id="_1408"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </sink> </item> <item class_id_reference="32" object_id="_1409"> <type>1</type> <name>numReps_channel20</name> <ssdmobj_id>299</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1410"> <port class_id_reference="29" object_id="_1411"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1258"></inst> </source> <sink class_id_reference="28" object_id="_1412"> <port class_id_reference="29" object_id="_1413"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </sink> </item> <item class_id_reference="32" object_id="_1414"> <type>1</type> <name>wa_out_m_buffer_V_V</name> <ssdmobj_id>310</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>16</bitwidth> <source class_id_reference="28" object_id="_1415"> <port class_id_reference="29" object_id="_1416"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </source> <sink class_id_reference="28" object_id="_1417"> <port class_id_reference="29" object_id="_1418"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </sink> </item> <item class_id_reference="32" object_id="_1419"> <type>1</type> <name>numReps_channel21</name> <ssdmobj_id>298</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1420"> <port class_id_reference="29" object_id="_1421"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1268"></inst> </source> <sink class_id_reference="28" object_id="_1422"> <port class_id_reference="29" object_id="_1423"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </sink> </item> <item class_id_reference="32" object_id="_1424"> <type>1</type> <name>memOutStrm_V_V</name> <ssdmobj_id>325</ssdmobj_id> <ctype>0</ctype> <depth>1024</depth> <bitwidth>64</bitwidth> <source class_id_reference="28" object_id="_1425"> <port class_id_reference="29" object_id="_1426"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </source> <sink class_id_reference="28" object_id="_1427"> <port class_id_reference="29" object_id="_1428"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </sink> </item> <item class_id_reference="32" object_id="_1429"> <type>1</type> <name>numReps_channel22</name> <ssdmobj_id>297</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>32</bitwidth> <source class_id_reference="28" object_id="_1430"> <port class_id_reference="29" object_id="_1431"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_1342"></inst> </source> <sink class_id_reference="28" object_id="_1432"> <port class_id_reference="29" object_id="_1433"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_1352"></inst> </sink> </item> </channel_list> <net_list class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </net_list> </mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="36" tracking_level="0" version="0"> <count>27</count> <item_version>0</item_version> <item class_id="37" tracking_level="0" version="0"> <first>293</first> <second class_id="38" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>294</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>295</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>296</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>297</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>298</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>299</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>300</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>301</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>302</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>303</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>307</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>310</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>313</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>316</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>319</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>322</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>325</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>332</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>335</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>338</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>341</first> <second> <first>6</first> <second>1</second> </second> </item> <item> <first>344</first> <second> <first>8</first> <second>1</second> </second> </item> <item> <first>347</first> <second> <first>10</first> <second>1</second> </second> </item> <item> <first>350</first> <second> <first>12</first> <second>1</second> </second> </item> <item> <first>351</first> <second> <first>14</first> <second>1</second> </second> </item> <item> <first>352</first> <second> <first>15</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="39" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>353</first> <second class_id="41" tracking_level="0" version="0"> <first>0</first> <second>15</second> </second> </item> </bblk_ent_exit> <regions class_id="42" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="43" tracking_level="1" version="0" object_id="_1434"> <region_name>DoCompute</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>353</item> </basic_blocks> <nodes> <count>60</count> <item_version>0</item_version> <item>293</item> <item>294</item> <item>295</item> <item>296</item> <item>297</item> <item>298</item> <item>299</item> <item>300</item> <item>301</item> <item>302</item> <item>303</item> <item>304</item> <item>305</item> <item>306</item> <item>307</item> <item>308</item> <item>309</item> <item>310</item> <item>311</item> <item>312</item> <item>313</item> <item>314</item> <item>315</item> <item>316</item> <item>317</item> <item>318</item> <item>319</item> <item>320</item> <item>321</item> <item>322</item> <item>323</item> <item>324</item> <item>325</item> <item>326</item> <item>327</item> <item>328</item> <item>329</item> <item>330</item> <item>331</item> <item>332</item> <item>333</item> <item>334</item> <item>335</item> <item>336</item> <item>337</item> <item>338</item> <item>339</item> <item>340</item> <item>341</item> <item>342</item> <item>343</item> <item>344</item> <item>345</item> <item>346</item> <item>347</item> <item>348</item> <item>349</item> <item>350</item> <item>351</item> <item>352</item> </nodes> <anchor_node>-1</anchor_node> <region_type>16</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> </regions> <dp_fu_nodes class_id="44" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="45" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="46" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="47" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="48" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
Ada
450,082
adb
null
29.135293
410
0.599489
-- SPDX-FileCopyrightText: 2019-2020 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- with Ada.Characters.Conversions; with Ada.Command_Line; with Ada.Wide_Wide_Text_IO; with Program.Compilation_Unit_Vectors; with Program.Compilation_Units; with Program.Plain_Contexts; with Program.Storage_Pools.Instance; pragma Unreferenced (Program.Storage_Pools.Instance); with Dump_Elements; with Errors; procedure Dump_Tree is procedure Process_Units (List : Program.Compilation_Unit_Vectors.Compilation_Unit_Vector_Access); procedure Process_Unit (Unit : Program.Compilation_Units.Compilation_Unit_Access); procedure Process_Unit (Unit : Program.Compilation_Units.Compilation_Unit_Access) is begin Ada.Wide_Wide_Text_IO.Put_Line ("Unit: " & Unit.Full_Name); Dump_Elements.Print (Unit.Unit_Declaration); end Process_Unit; procedure Process_Units (List : Program.Compilation_Unit_Vectors.Compilation_Unit_Vector_Access) is begin for Cursor in List.Each_Unit loop Process_Unit (Cursor.Unit); end loop; end Process_Units; Error : aliased Errors.Error_Listener; Ctx : aliased Program.Plain_Contexts.Context; begin Ctx.Initialize (Error'Unchecked_Access); for J in 1 .. Ada.Command_Line.Argument_Count loop declare Arg : constant Wide_Wide_String := Ada.Characters.Conversions.To_Wide_Wide_String (Ada.Command_Line.Argument (J)); begin if Arg'Length > 2 and then Arg (1 .. 2) = "-I" then Ctx.Add_Search_Directory (Arg (3 .. Arg'Last)); else Ctx.Parse_File (Arg); end if; end; end loop; Ctx.Complete_Analysis; -- Ada.Wide_Wide_Text_IO.Put_Line ("Compilation: " & C.Text_Name); -- Ada.Wide_Wide_Text_IO.Put_Line -- ("Total lines:" & Natural'Wide_Wide_Image (C.Line_Count)); -- Ada.Wide_Wide_Text_IO.Put_Line -- ("Total lexical elements:" -- & Natural'Wide_Wide_Image (C.Lexical_Element_Count)); Process_Units (Ctx.Library_Unit_Declarations); Process_Units (Ctx.Compilation_Unit_Bodies); end Dump_Tree;
Ada
2,217
adb
null
29.56
78
0.695535
with Ada.Exceptions; with Ada.Unchecked_Deallocation; with System.Address_To_Named_Access_Conversions; with System.Shared_Locking; package body System.Finalization_Masters is pragma Suppress (All_Checks); use type Storage_Barriers.Flag; procedure Free is new Ada.Unchecked_Deallocation (FM_List, FM_List_Access); package FMN_Ptr_Conv is new Address_To_Named_Access_Conversions (FM_Node, FM_Node_Ptr); procedure Initialize_List (List : not null FM_List_Access); procedure Initialize_List (List : not null FM_List_Access) is begin List.Objects.Next := List.Objects'Access; List.Objects.Prev := List.Objects'Access; end Initialize_List; procedure Finalize_List ( List : not null FM_List_Access; Raised : in out Boolean; X : in out Ada.Exceptions.Exception_Occurrence); procedure Finalize_List ( List : not null FM_List_Access; Raised : in out Boolean; X : in out Ada.Exceptions.Exception_Occurrence) is begin while List.Objects.Next /= List.Objects'Unchecked_Access loop declare Curr_Ptr : constant FM_Node_Ptr := List.Objects.Next; Obj_Addr : constant Address := FMN_Ptr_Conv.To_Address (Curr_Ptr) + Header_Size; begin Detach_Unprotected (Curr_Ptr); begin List.Finalize_Address (Obj_Addr); exception when E : others => if not Raised then Raised := True; Ada.Exceptions.Save_Occurrence (X, E); end if; end; end; end loop; end Finalize_List; procedure Get_List_Unprotected ( Master : in out Finalization_Master'Class; Fin_Addr_Ptr : Finalize_Address_Ptr; List : out FM_List_Access); procedure Get_List_Unprotected ( Master : in out Finalization_Master'Class; Fin_Addr_Ptr : Finalize_Address_Ptr; List : out FM_List_Access) is begin if Master.List.Finalize_Address = null then Master.List.Finalize_Address := Fin_Addr_Ptr; List := Master.List'Unchecked_Access; else declare I : FM_List_Access := Master.List'Unchecked_Access; begin while I /= null loop if I.Finalize_Address = Fin_Addr_Ptr then List := I; return; -- found end if; I := I.Next; end loop; end; declare New_List : constant FM_List_Access := new FM_List; begin Initialize_List (New_List); New_List.Finalize_Address := Fin_Addr_Ptr; New_List.Next := Master.List.Next; Master.List.Next := New_List; List := New_List; end; end if; end Get_List_Unprotected; -- implementation procedure Attach_Unprotected (N, L : not null FM_Node_Ptr) is begin L.Next.Prev := N; N.Next := L.Next; L.Next := N; N.Prev := L; end Attach_Unprotected; procedure Detach_Unprotected (N : not null FM_Node_Ptr) is begin if N.Prev /= null and then N.Next /= null then N.Prev.Next := N.Next; N.Next.Prev := N.Prev; N.Prev := null; N.Next := null; end if; end Detach_Unprotected; function Objects_Unprotected ( Master : aliased in out Finalization_Master'Class; Fin_Addr_Ptr : Finalize_Address_Ptr) return FM_Node_Ptr is List : FM_List_Access; begin Get_List_Unprotected (Master, Fin_Addr_Ptr, List); return List.Objects'Access; end Objects_Unprotected; function Finalization_Started (Master : Finalization_Master'Class) return Boolean is begin return Storage_Barriers.atomic_load ( Master.Finalization_Started'Access) /= 0; end Finalization_Started; procedure Set_Finalize_Address_Unprotected ( Master : in out Finalization_Master'Class; Fin_Addr_Ptr : Finalize_Address_Ptr) is Dummy : FM_List_Access; begin Get_List_Unprotected (Master, Fin_Addr_Ptr, Dummy); end Set_Finalize_Address_Unprotected; procedure Set_Finalize_Address ( Master : in out Finalization_Master'Class; Fin_Addr_Ptr : Finalize_Address_Ptr) is begin Shared_Locking.Enter; Set_Finalize_Address_Unprotected (Master, Fin_Addr_Ptr); Shared_Locking.Leave; end Set_Finalize_Address; overriding procedure Initialize (Object : in out Finalization_Master) is begin Storage_Barriers.atomic_clear (Object.Finalization_Started'Access); Initialize_List (Object.List'Unchecked_Access); Object.List.Finalize_Address := null; Object.List.Next := null; end Initialize; overriding procedure Finalize (Object : in out Finalization_Master) is begin if not Storage_Barriers.atomic_test_and_set ( Object.Finalization_Started'Access) then declare Raised : Boolean := False; X : Ada.Exceptions.Exception_Occurrence; begin Finalize_List (Object.List'Unchecked_Access, Raised, X); declare I : FM_List_Access := Object.List.Next; begin while I /= null loop declare Next : constant FM_List_Access := I.Next; begin Finalize_List (I, Raised, X); Free (I); I := Next; end; end loop; end; if Raised then Ada.Exceptions.Reraise_Nonnull_Occurrence (X); end if; end; end if; end Finalize; function Base_Pool (Master : Finalization_Master'Class) return Any_Storage_Pool_Ptr is begin return Master.Base_Pool; end Base_Pool; procedure Set_Base_Pool ( Master : in out Finalization_Master'Class; Pool_Ptr : Any_Storage_Pool_Ptr) is begin Master.Base_Pool := Pool_Ptr; end Set_Base_Pool; end System.Finalization_Masters;
Ada
6,133
adb
33
31.13198
78
0.626773
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . B B . M C U _ P A R A M E T E R S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2016, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- -- The port of GNARL to bare board targets was initially developed by the -- -- Real-Time Systems Group at the Technical University of Madrid. -- -- -- ------------------------------------------------------------------------------ -- This package defines MCU parameters for the STM32F7x family with Interfaces.STM32; with Interfaces.STM32.PWR; package System.BB.MCU_Parameters is pragma No_Elaboration_Code_All; pragma Preelaborate; use type Interfaces.STM32.Bit; Number_Of_Interrupts : constant := 99; procedure PWR_Initialize; procedure PWR_Overdrive_Enable; function Is_PWR_Stabilized return Boolean is (Interfaces.STM32.PWR.PWR_Periph.CSR1.VOSRDY = 1); end System.BB.MCU_Parameters;
Ada
3,098
ads
null
56.327273
78
0.437056
-- Copyright 2015,2016,2017 Steven Stewart-Gallus -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or -- implied. See the License for the specific language governing -- permissions and limitations under the License. with Ada.Characters.Latin_1; with Ada.Unchecked_Conversion; with Linted.Writer; with Linted.Triggers; package body Linted.Stdio is package C renames Interfaces.C; use Linted.Errors; use Linted.KOs; procedure Write_Line (Object : KO; Str : String) is Dummy : Error; begin Write_String (Object, Str & Ada.Characters.Latin_1.LF, Dummy); end Write_Line; procedure Write_String (Object : KO; Str : String; Err : out Error) with Spark_Mode => Off is X : C.char_array := C.To_C (Str); Bytes_Written : C.size_t; begin Write (Object, X (X'First)'Address, X'Length, Bytes_Written, Err); end Write_String; procedure Write (Object : KO; Buf : System.Address; Count : C.size_t; Bytes_Written : out C.size_t; Err : out Error) is Future : Writer.Future; Event : Writer.Event; begin Writer.Write (Object, Buf, Count, Triggers.Null_Signaller, Future); Writer.Write_Wait (Future, Event); Bytes_Written := Event.Bytes_Written; Err := Event.Err; end Write; end Linted.Stdio;
Ada
1,710
adb
null
30.535714
75
0.688304
-- part of AdaYaml, (c) 2017 Felix Krause -- released under the terms of the MIT license, see the file "copying.txt" with Ada.Unchecked_Deallocation; with Yaml.Dom.Node; with Yaml.Dom.Sequence_Data; with Yaml.Dom.Mapping_Data; with Yaml.Dom.Node_Memory; package body Yaml.Dom is use type Text.Reference; use type Count_Type; use type Node.Instance; function For_Document (Document : not null access Document_Instance) return Sequence_Data.Instance with Import, Convention => Ada, Link_Name => "AdaYaml__Sequence_Data__For_Document"; function For_Document (Document : not null access Document_Instance) return Mapping_Data.Instance with Import, Convention => Ada, Link_Name => "AdaYaml__Mapping_Data__For_Document"; type Nullable_Node_Pointer is access all Node.Instance; procedure Free is new Ada.Unchecked_Deallocation (Node.Instance, Nullable_Node_Pointer); procedure Decrease_Refcount (Object : not null access Document_Instance) is begin if Object.Refcount = 1 and then Object.Root_Node /= null then declare Memory : Node_Memory.Instance; procedure Visit_Pair (Key, Value : not null access Node.Instance); procedure Visit (Cur : not null access Node.Instance) is Visited : Boolean; begin Memory.Visit (Cur, Visited); if Visited then return; end if; case Cur.Kind is when Scalar => null; when Sequence => Cur.Items.Iterate (Visit'Access); when Mapping => Cur.Pairs.Iterate (Visit_Pair'Access); end case; end Visit; procedure Visit_Pair (Key, Value : not null access Node.Instance) is begin Visit (Key); Visit (Value); end Visit_Pair; begin Visit (Object.Root_Node); while not Memory.Is_Empty loop declare Ptr : Nullable_Node_Pointer := Nullable_Node_Pointer (Memory.Pop_First); begin Free (Ptr); end; end loop; end; end if; Yaml.Decrease_Refcount (Object); end Decrease_Refcount; procedure Adjust (Object : in out Document_Reference) is begin Increase_Refcount (Object.Data); end Adjust; procedure Finalize (Object : in out Document_Reference) is begin Dom.Decrease_Refcount (Object.Data); end Finalize; procedure Adjust (Object : in out Node_Reference) is begin Increase_Refcount (Object.Document); end Adjust; procedure Finalize (Object : in out Node_Reference) is begin Dom.Decrease_Refcount (Object.Document); end Finalize; procedure Adjust (Object : in out Optional_Node_Reference) is begin if Object.Document /= null then Increase_Refcount (Object.Document); end if; end Adjust; procedure Finalize (Object : in out Optional_Node_Reference) is begin if Object.Document /= null then Dom.Decrease_Refcount (Object.Document); end if; end Finalize; function New_Sequence (Document : not null access Document_Instance; Tag : Text.Reference; Style : Collection_Style_Type) return Node_Pointer is (new Node.Instance'(Kind => Sequence, Tag => Tag, Sequence_Style => Style, Items => For_Document (Document))); function New_Mapping (Document : not null access Document_Instance; Tag : Text.Reference; Style : Collection_Style_Type) return Node_Pointer is (new Node.Instance'(Kind => Mapping, Tag => Tag, Mapping_Style => Style, Pairs => For_Document (Document))); function New_Document (Pool : Text.Pool.Reference := Text.Pool.With_Capacity (Text.Pool.Default_Size); Implicit_Start, Implicit_End : Boolean := True) return Document_Reference is begin return (Ada.Finalization.Controlled with Data => new Document_Instance'(Refcount_Base with Pool => Pool, Root_Node => null, Implicit_Start => Implicit_Start, Implicit_End => Implicit_End)); end New_Document; function New_Scalar (Parent : Document_Reference'Class; Content : String := ""; Tag : Text.Reference := Yaml.Tags.Question_Mark; Style : Scalar_Style_Type := Any) return Node_Reference is begin Increase_Refcount (Parent.Data); return ((Ada.Finalization.Controlled with Document => Parent.Data, Data => new Node.Instance'(Tag => Tag, Kind => Scalar, Scalar_Style => Style, Content => Parent.Data.Pool.From_String (Content)))); end New_Scalar; function New_Scalar (Parent : Document_Reference'Class; Content : Text.Reference; Tag : Text.Reference := Yaml.Tags.Question_Mark; Style : Scalar_Style_Type := Any) return Node_Reference is begin Increase_Refcount (Parent.Data); return ((Ada.Finalization.Controlled with Document => Parent.Data, Data => new Node.Instance'(Tag => Tag, Kind => Scalar, Scalar_Style => Style, Content => Content))); end New_Scalar; function New_Sequence (Parent : Document_Reference'Class; Tag : Text.Reference := Yaml.Tags.Question_Mark; Style : Collection_Style_Type := Any) return Node_Reference is begin Increase_Refcount (Parent.Data); return ((Ada.Finalization.Controlled with Document => Parent.Data, Data => New_Sequence (Parent.Data, Tag, Style))); end New_Sequence; function New_Mapping (Parent : Document_Reference'Class; Tag : Text.Reference := Yaml.Tags.Question_Mark; Style : Collection_Style_Type := Any) return Node_Reference is begin Increase_Refcount (Parent.Data); return ((Ada.Finalization.Controlled with Document => Parent.Data, Data => New_Mapping (Parent.Data, Tag, Style))); end New_Mapping; function Nodes_Equal (Left, Right : access Node.Instance) return Boolean is (Left = Right or else (Left /= null and then Right /= null and then Left.all = Right.all)); function "=" (Left, Right : Document_Reference) return Boolean is (Nodes_Equal (Left.Data.Root_Node, Right.Data.Root_Node)); function "=" (Left, Right : Node_Reference) return Boolean is (Same_Node (Left, Right) or else Left.Data.all = Right.Data.all); -- checks whether the two references reference the same node function Same_Node (Left, Right : Node_Reference) return Boolean is (Left.Data = Right.Data); function Is_Empty (Object : Document_Reference) return Boolean is (Object.Data.Root_Node = null); function Root (Object : Document_Reference'Class) return Node_Reference is begin Increase_Refcount (Object.Data); return (Ada.Finalization.Controlled with Document => Object.Data, Data => Node_Pointer (Object.Data.Root_Node)); end Root; procedure Set_Root (Object : Document_Reference; Value : Node_Reference'Class) is begin Object.Data.Root_Node := Value.Data; end Set_Root; procedure Set_Root (Object : Document_Reference; Value : Optional_Node_Reference'Class) is begin Object.Data.Root_Node := Value.Data; end Set_Root; function Starts_Implicitly (Object : Document_Reference) return Boolean is (Object.Data.Implicit_Start); function Ends_Implicitly (Object : Document_Reference) return Boolean is (Object.Data.Implicit_End); procedure Set_Representation_Hints (Object : Document_Reference; Implicit_Start, Implicit_End : Boolean) is begin Object.Data.Implicit_Start := Implicit_Start; Object.Data.Implicit_End := Implicit_End; end Set_Representation_Hints; function Value (Object : Node_Reference) return Accessor is ((Data => Object.Data)); function Value (Object : Optional_Node_Reference) return Accessor is ((Data => Object.Data)); function Required (Object : Optional_Node_Reference'Class) return Node_Reference is begin Increase_Refcount (Object.Document); return (Ada.Finalization.Controlled with Document => Object.Document, Data => Node_Pointer (Object.Data)); end Required; function Optional (Object : Node_Reference'Class) return Optional_Node_Reference is begin Increase_Refcount (Object.Document); return (Ada.Finalization.Controlled with Document => Object.Document, Data => Object.Data); end Optional; end Yaml.Dom;
Ada
9,420
adb
null
38.137652
90
0.61189
-- CE3413B.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT PAGE RAISES LAYOUT_ERROR WHEN THE VALUE OF THE -- PAGE NUMBER EXCEEDS COUNT'LAST. -- APPLICABILITY CRITERIA: -- THIS TEST IS APPLICABLE ONLY TO IMPLEMENTATIONS WHICH SUPPORT -- TEXT FILES. -- *** NOTE: This test has been modified since ACVC version 1.11 to -- 9X -- *** remove incompatibilities associated with the transition -- 9X -- *** to Ada 9X. -- 9X -- *** -- 9X -- HISTORY: -- JLH 07/27/88 CREATED ORIGINAL TEST. -- MRM 03/30/93 REMOVED NUMERIC_ERROR FOR 9X COMPATIBILITY WITH REPORT; USE REPORT; WITH TEXT_IO; USE TEXT_IO; PROCEDURE CE3413B IS FILE : FILE_TYPE; INCOMPLETE, INAPPLICABLE : EXCEPTION; ITEM : STRING(1..3) := "ABC"; LST : NATURAL; BEGIN TEST ("CE3413B", "CHECK THAT PAGE RAISES LAYOUT_ERROR WHEN THE " & "VALUE OF THE PAGE NUMBER EXCEEDS COUNT'LAST"); BEGIN IF COUNT'LAST > 150000 THEN RAISE INAPPLICABLE; END IF; BEGIN CREATE (FILE, OUT_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("USE_ERROR RAISED ON TEXT CREATE " & "WITH OUT_FILE MODE"); RAISE INCOMPLETE; WHEN NAME_ERROR => NOT_APPLICABLE ("NAME_ERROR RAISED ON TEXT " & "CREATE WITH OUT_FILE MODE"); RAISE INCOMPLETE; WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED ON TEXT " & "CREATE"); RAISE INCOMPLETE; END; FOR I IN 1 .. COUNT'LAST-1 LOOP NEW_PAGE (FILE); END LOOP; PUT (FILE, ITEM); NEW_PAGE (FILE); PUT (FILE, "DEF"); BEGIN IF PAGE(FILE) <= POSITIVE_COUNT(COUNT'LAST) THEN FAILED ("PAGE NUMBER INCORRECT AFTER PAGE SET - 1"); END IF; FAILED ("LAYOUT_ERROR NOT RAISED FOR PAGE - 1"); EXCEPTION WHEN LAYOUT_ERROR => NULL; WHEN CONSTRAINT_ERROR => FAILED ("CONSTRAINT_ERROR RAISED FOR PAGE - 1"); WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED FOR PAGE - 1"); END; CLOSE (FILE); BEGIN OPEN (FILE, IN_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("USE_ERROR RAISED ON TEXT OPEN " & "WITH IN_FILE MODE"); RAISE INCOMPLETE; END; FOR I IN 1 .. COUNT'LAST-1 LOOP SKIP_PAGE (FILE); END LOOP; IF PAGE(FILE) /= COUNT'LAST THEN FAILED ("INCORRECT PAGE NUMBER"); END IF; GET_LINE (FILE, ITEM, LST); IF ITEM /= "ABC" THEN FAILED ("INCORRECT VALUE READ"); END IF; SKIP_PAGE (FILE); BEGIN IF PAGE(FILE) <= POSITIVE_COUNT(COUNT'LAST) THEN FAILED ("PAGE NUMBER INCORRECT AFTER PAGE SET - 2"); END IF; FAILED ("LAYOUT_ERROR NOT RAISED FOR PAGE - 2"); EXCEPTION WHEN LAYOUT_ERROR => NULL; WHEN CONSTRAINT_ERROR => FAILED ("CONSTRAINT_ERROR RAISED FOR PAGE - 2"); WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED FOR PAGE - 2"); END; BEGIN DELETE (FILE); EXCEPTION WHEN USE_ERROR => NULL; END; EXCEPTION WHEN INCOMPLETE => NULL; WHEN INAPPLICABLE => NOT_APPLICABLE ("THE VALUE OF COUNT'LAST IS GREATER " & "THAN 150000. THE CHECKING OF THIS " & "OBJECTIVE IS IMPRACTICAL"); END; RESULT; END CE3413B;
Ada
5,533
ada
7
33.737805
79
0.516718
package body Ada.Strings.Generic_Bounded.Generic_Functions is package body Generic_Bounded_Length is -- Copying procedure Tail ( Source : Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error; Target : out Bounded.Bounded_String); procedure Tail ( Source : Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error; Target : out Bounded.Bounded_String) is begin if Count > Bounded.Max then declare S : String_Type (1 .. Count); S_Last : Natural; begin Fixed_Functions.Tail ( Source.Element (1 .. Source.Length), Count, Pad, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String (Target, S (1 .. S_Last), Drop); end; else Fixed_Functions.Tail ( Source.Element (1 .. Source.Length), Count, Pad, Target => Target.Element, Target_Last => Target.Length); end if; end Tail; -- implementation function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; From : Positive; Going : Direction := Forward) return Natural is begin return Fixed_Functions.Index ( Source.Element (1 .. Source.Length), Pattern, From, Going); end Index; function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; Going : Direction := Forward) return Natural is begin return Fixed_Functions.Index ( Source.Element (1 .. Source.Length), Pattern, Going); end Index; function Index_Non_Blank ( Source : Bounded.Bounded_String; From : Positive; Going : Direction := Forward) return Natural is begin return Fixed_Functions.Index_Non_Blank ( Source.Element (1 .. Source.Length), From, Going); end Index_Non_Blank; function Index_Non_Blank ( Source : Bounded.Bounded_String; Going : Direction := Forward) return Natural is begin return Fixed_Functions.Index_Non_Blank ( Source.Element (1 .. Source.Length), Going); end Index_Non_Blank; function Count (Source : Bounded.Bounded_String; Pattern : String_Type) return Natural is begin return Fixed_Functions.Count ( Source.Element (1 .. Source.Length), Pattern); end Count; function Replace_Slice ( Source : Bounded.Bounded_String; Low : Positive; High : Natural; By : String_Type; Drop : Truncation := Error) return Bounded.Bounded_String is pragma Check (Pre, Check => (Low <= Source.Length + 1 and then High <= Source.Length) or else raise Index_Error); begin return Result : Bounded.Bounded_String do declare New_Length : constant Natural := Source.Length + By'Length - Integer'Max (High - Low + 1, 0); begin if New_Length > Bounded.Max then declare S : String_Type (1 .. New_Length); S_Last : Natural; begin Fixed_Functions.Replace_Slice ( Source.Element (1 .. Source.Length), Low, High, By, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String ( Result, S (1 .. S_Last), Drop); end; else Fixed_Functions.Replace_Slice ( Source.Element (1 .. Source.Length), Low, High, By, Target => Result.Element, Target_Last => Result.Length); end if; end; end return; end Replace_Slice; procedure Replace_Slice ( Source : in out Bounded.Bounded_String; Low : Positive; High : Natural; By : String_Type; Drop : Truncation := Error) is pragma Check (Pre, Check => (Low <= Source.Length + 1 and then High <= Source.Length) or else raise Index_Error); -- CXA4019 New_Length : constant Natural := Source.Length + By'Length - Integer'Max (High - Low + 1, 0); begin if New_Length > Bounded.Max then declare S : String_Type (1 .. New_Length); S_Last : Natural; begin Fixed_Functions.Replace_Slice ( Source.Element (1 .. Source.Length), Low, High, By, Target => S, -- copying Target_Last => S_Last); Bounded.Set_Bounded_String (Source, S (1 .. S_Last), Drop); end; else Fixed_Functions.Replace_Slice ( Source.Element, Source.Length, Low, High, By); end if; end Replace_Slice; function Insert ( Source : Bounded.Bounded_String; Before : Positive; New_Item : String_Type; Drop : Truncation := Error) return Bounded.Bounded_String is pragma Check (Pre, Check => Before <= Source.Length + 1 or else raise Index_Error); begin return Result : Bounded.Bounded_String do declare New_Length : constant Natural := Source.Length + New_Item'Length; begin if New_Length > Bounded.Max then declare S : String_Type (1 .. New_Length); S_Last : Natural; begin Fixed_Functions.Insert ( Source.Element (1 .. Source.Length), Before, New_Item, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String ( Result, S (1 .. S_Last), Drop); end; else Fixed_Functions.Insert ( Source.Element (1 .. Source.Length), Before, New_Item, Target => Result.Element, Target_Last => Result.Length); end if; end; end return; end Insert; procedure Insert ( Source : in out Bounded.Bounded_String; Before : Positive; New_Item : String_Type; Drop : Truncation := Error) is pragma Check (Pre, Check => Before <= Source.Length + 1 or else raise Index_Error); New_Length : constant Natural := Source.Length + New_Item'Length; begin if New_Length > Bounded.Max then declare S : String_Type (1 .. New_Length); S_Last : Natural; begin Fixed_Functions.Insert ( Source.Element (1 .. Source.Length), Before, New_Item, Target => S, -- copying Target_Last => S_Last); Bounded.Set_Bounded_String (Source, S (1 .. S_Last), Drop); end; else Fixed_Functions.Insert ( Source.Element, Source.Length, Before, New_Item); end if; end Insert; function Overwrite ( Source : Bounded.Bounded_String; Position : Positive; New_Item : String_Type; Drop : Truncation := Error) return Bounded.Bounded_String is begin return Replace_Slice ( Source, Position, -- checking Index_Error Integer'Min (Position + New_Item'Length - 1, Source.Length), New_Item, Drop); end Overwrite; procedure Overwrite ( Source : in out Bounded.Bounded_String; Position : Positive; New_Item : String_Type; Drop : Truncation := Error) is begin Replace_Slice ( Source, Position, -- checking Index_Error Integer'Min (Position + New_Item'Length - 1, Source.Length), New_Item, Drop); end Overwrite; function Delete ( Source : Bounded.Bounded_String; From : Positive; Through : Natural) return Bounded.Bounded_String is pragma Check (Pre, Check => (From <= Source.Length + 1 and then Through <= Source.Length) or else raise Index_Error); begin return Result : Bounded.Bounded_String do Fixed_Functions.Delete ( Source.Element (1 .. Source.Length), From, Through, Target => Result.Element, Target_Last => Result.Length); end return; end Delete; procedure Delete ( Source : in out Bounded.Bounded_String; From : Positive; Through : Natural) is pragma Check (Pre, Check => (From <= Source.Length + 1 and then Through <= Source.Length) or else raise Index_Error); begin Fixed_Functions.Delete (Source.Element, Source.Length, From, Through); end Delete; function Trim ( Source : Bounded.Bounded_String; Side : Trim_End; Blank : Character_Type := Fixed_Functions.Space) return Bounded.Bounded_String is First : Positive; Last : Natural; begin Fixed_Functions.Trim ( Source.Element (1 .. Source.Length), Side, Blank, First, Last); return Bounded.Bounded_Slice (Source, First, Last); end Trim; procedure Trim ( Source : in out Bounded.Bounded_String; Side : Trim_End; Blank : Character_Type := Fixed_Functions.Space) is First : Positive; Last : Natural; begin Fixed_Functions.Trim ( Source.Element (1 .. Source.Length), Side, Blank, First, Last); Bounded.Bounded_Slice (Source, Source, First, Last); end Trim; function Head ( Source : Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error) return Bounded.Bounded_String is begin return Result : Bounded.Bounded_String do if Count > Bounded.Max then declare S : String_Type (1 .. Count); S_Last : Natural; begin Fixed_Functions.Head ( Source.Element (1 .. Source.Length), Count, Pad, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String (Result, S (1 .. S_Last), Drop); end; else Fixed_Functions.Head ( Source.Element (1 .. Source.Length), Count, Pad, Target => Result.Element, Target_Last => Result.Length); end if; end return; end Head; procedure Head ( Source : in out Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error) is begin if Count > Bounded.Max then declare S : String_Type (1 .. Count); S_Last : Natural; begin Fixed_Functions.Head ( Source.Element (1 .. Source.Length), Count, Pad, Target => S, -- copying Target_Last => S_Last); Bounded.Set_Bounded_String (Source, S (1 .. S_Last), Drop); end; else Fixed_Functions.Head (Source.Element, Source.Length, Count, Pad); end if; end Head; function Tail ( Source : Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error) return Bounded.Bounded_String is begin return Result : Bounded.Bounded_String do Tail (Source, Count, Pad, Drop, Target => Result); end return; end Tail; procedure Tail ( Source : in out Bounded.Bounded_String; Count : Natural; Pad : Character_Type := Fixed_Functions.Space; Drop : Truncation := Error) is begin if Count /= Source.Length then Tail (Source, Count, Pad, Drop, Target => Source); -- copying end if; end Tail; end Generic_Bounded_Length; package body Generic_Maps is package body Generic_Bounded_Length is function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; From : Positive; Going : Direction := Forward; Mapping : Fixed_Maps.Character_Mapping) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Pattern, From, Going, Mapping); end Index; function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; Going : Direction := Forward; Mapping : Fixed_Maps.Character_Mapping) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Pattern, Going, Mapping); end Index; function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; From : Positive; Going : Direction := Forward; Mapping : not null access function (From : Wide_Wide_Character) return Wide_Wide_Character) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Pattern, From, Going, Mapping); end Index; function Index ( Source : Bounded.Bounded_String; Pattern : String_Type; Going : Direction := Forward; Mapping : not null access function (From : Wide_Wide_Character) return Wide_Wide_Character) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Pattern, Going, Mapping); end Index; function Index_Element ( Source : Bounded.Bounded_String; Pattern : String_Type; From : Positive; Going : Direction := Forward; Mapping : not null access function (From : Character_Type) return Character_Type) return Natural is begin return Fixed_Maps.Index_Element ( Source.Element (1 .. Source.Length), Pattern, From, Going, Mapping); end Index_Element; function Index_Element ( Source : Bounded.Bounded_String; Pattern : String_Type; Going : Direction := Forward; Mapping : not null access function (From : Character_Type) return Character_Type) return Natural is begin return Fixed_Maps.Index_Element ( Source.Element (1 .. Source.Length), Pattern, Going, Mapping); end Index_Element; function Index ( Source : Bounded.Bounded_String; Set : Fixed_Maps.Character_Set; From : Positive; Test : Membership := Inside; Going : Direction := Forward) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Set, From, Test, Going); end Index; function Index ( Source : Bounded.Bounded_String; Set : Fixed_Maps.Character_Set; Test : Membership := Inside; Going : Direction := Forward) return Natural is begin return Fixed_Maps.Index ( Source.Element (1 .. Source.Length), Set, Test, Going); end Index; function Count ( Source : Bounded.Bounded_String; Pattern : String_Type; Mapping : Fixed_Maps.Character_Mapping) return Natural is begin return Fixed_Maps.Count ( Source.Element (1 .. Source.Length), Pattern, Mapping); end Count; function Count ( Source : Bounded.Bounded_String; Pattern : String_Type; Mapping : not null access function (From : Wide_Wide_Character) return Wide_Wide_Character) return Natural is begin return Fixed_Maps.Count ( Source.Element (1 .. Source.Length), Pattern, Mapping); end Count; function Count_Element ( Source : Bounded.Bounded_String; Pattern : String_Type; Mapping : not null access function (From : Character_Type) return Character_Type) return Natural is begin return Fixed_Maps.Count_Element ( Source.Element (1 .. Source.Length), Pattern, Mapping); end Count_Element; function Count ( Source : Bounded.Bounded_String; Set : Fixed_Maps.Character_Set) return Natural is begin return Fixed_Maps.Count (Source.Element (1 .. Source.Length), Set); end Count; procedure Find_Token ( Source : Bounded.Bounded_String; Set : Fixed_Maps.Character_Set; From : Positive; Test : Membership; First : out Positive; Last : out Natural) is begin Fixed_Maps.Find_Token ( Source.Element (1 .. Source.Length), Set, From, Test, First, Last); end Find_Token; procedure Find_Token ( Source : Bounded.Bounded_String; Set : Fixed_Maps.Character_Set; Test : Membership; First : out Positive; Last : out Natural) is begin Fixed_Maps.Find_Token ( Source.Element (1 .. Source.Length), Set, Test, First, Last); end Find_Token; function Translate ( Source : Bounded.Bounded_String; Mapping : Fixed_Maps.Character_Mapping; Drop : Truncation := Error) return Bounded.Bounded_String is begin return Result : Bounded.Bounded_String do declare Expanded_Length : constant Natural := Source.Length * Fixed_Maps.Expanding; begin if Expanded_Length > Bounded.Max then declare S : String_Type (1 .. Expanded_Length); S_Last : Natural; begin Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String ( Result, S (1 .. S_Last), Drop); end; else Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => Result.Element, Target_Last => Result.Length); end if; end; end return; end Translate; procedure Translate ( Source : in out Bounded.Bounded_String; Mapping : Fixed_Maps.Character_Mapping; Drop : Truncation := Error) is -- Translate can not update destructively. S : String_Type (1 .. Source.Length * Fixed_Maps.Expanding); S_Last : Natural; begin Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String (Source, S (1 .. S_Last), Drop); end Translate; function Translate ( Source : Bounded.Bounded_String; Mapping : not null access function (From : Wide_Wide_Character) return Wide_Wide_Character; Drop : Truncation := Error) return Bounded.Bounded_String is begin return Result : Bounded.Bounded_String do declare Expanded_Length : constant Natural := Source.Length * Fixed_Maps.Expanding; begin if Expanded_Length > Bounded.Max then declare S : String_Type (1 .. Expanded_Length); S_Last : Natural; begin Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String ( Result, S (1 .. S_Last), Drop); end; else Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => Result.Element, Target_Last => Result.Length); end if; end; end return; end Translate; procedure Translate ( Source : in out Bounded.Bounded_String; Mapping : not null access function (From : Wide_Wide_Character) return Wide_Wide_Character; Drop : Truncation := Error) is -- Translate can not update destructively. S : String_Type (1 .. Source.Length * Fixed_Maps.Expanding); S_Last : Natural; begin Fixed_Maps.Translate ( Source.Element (1 .. Source.Length), Mapping, Target => S, Target_Last => S_Last); Bounded.Set_Bounded_String (Source, S (1 .. S_Last), Drop); end Translate; function Translate_Element ( Source : Bounded.Bounded_String; Mapping : not null access function (From : Character_Type) return Character_Type) return Bounded.Bounded_String is begin return Result : Bounded.Bounded_String := ( Capacity => Bounded.Max, Length => Source.Length, Element => <>) do Fixed_Maps.Translate_Element ( Source.Element (1 .. Source.Length), Mapping, Target => Result.Element (1 .. Source.Length)); end return; end Translate_Element; procedure Translate_Element ( Source : in out Bounded.Bounded_String; Mapping : not null access function (From : Character_Type) return Character_Type) is begin Fixed_Maps.Translate_Element ( Source.Element (1 .. Source.Length), Mapping); end Translate_Element; function Trim ( Source : Bounded.Bounded_String; Left : Fixed_Maps.Character_Set; Right : Fixed_Maps.Character_Set) return Bounded.Bounded_String is First : Positive; Last : Natural; begin Fixed_Maps.Trim ( Source.Element (1 .. Source.Length), Left, Right, First, Last); return Bounded.Bounded_Slice (Source, First, Last); end Trim; procedure Trim ( Source : in out Bounded.Bounded_String; Left : Fixed_Maps.Character_Set; Right : Fixed_Maps.Character_Set) is First : Positive; Last : Natural; begin Fixed_Maps.Trim ( Source.Element (1 .. Source.Length), Left, Right, First, Last); Bounded.Bounded_Slice (Source, Source, First, Last); end Trim; end Generic_Bounded_Length; end Generic_Maps; end Ada.Strings.Generic_Bounded.Generic_Functions;
Ada
26,935
adb
33
32.608959
79
0.481641
with Ada.Containers.Ordered_Maps; use Ada.Containers; with Ada.Text_IO; use Ada.Text_IO; with Ada.Strings.Unbounded; use Ada.Strings.Unbounded; with Input12; use Input12; procedure Day12 is package String_Maps is new Ordered_Maps (Key_Type => String_Pattern, Element_Type => Character); type Integer_64 is range -(2**63) .. +(2**63 - 1); Lookup_Table : String_Maps.Map; function Generation_Sum (Initial_State : String; Num_Gens : Integer_64) return Integer_64 is Diff_Count : Natural := 0; Old_Sum : Integer_64 := 0; Old_Diff : Integer_64 := 0; Zero_Index : Positive := Initial_State'First + 5; Current_State : Unbounded_String := To_Unbounded_String ("....." & Initial_State & "....."); begin for Gen in 1 .. Num_Gens loop declare Old_State : constant String := To_String (Current_State); New_State : String := Old_State; Sum : Integer_64 := 0; begin for I in Old_State'First + 2 .. Old_State'Last - 2 loop declare Pattern : constant String := Old_State (I - 2 .. I + 2); begin New_State (I) := Lookup_Table.Element (Pattern); if New_State (I) = '#' then Sum := Sum + Integer_64 (I - Zero_Index); end if; end; end loop; if Old_Diff = (Sum - Old_Sum) then Diff_Count := Diff_Count + 1; else Diff_Count := 0; end if; if Diff_Count > 10 then return Sum + ((Num_Gens - Gen) * (Sum - Old_Sum)); end if; Old_Diff := Sum - Old_Sum; Old_Sum := Sum; Current_State := To_Unbounded_String (New_State); if New_State (1 .. 5) /= "....." then Current_State := "....." & Current_State; Zero_Index := Zero_Index + 5; end if; if New_State (New_State'Last - 4 .. New_State'Last) /= "....." then Append (Current_State, "....."); end if; end; end loop; return Old_Sum; end Generation_Sum; begin for I in Inputs'Range loop Lookup_Table.Insert (Inputs (I).Pattern, Inputs (I).Plant); end loop; Put_Line ("Part 1 =" & Integer_64'Image (Generation_Sum (Initial_State, 20))); Put_Line ("Part 2 =" & Integer_64'Image (Generation_Sum (Initial_State, 50000000000))); end Day12;
Ada
2,682
adb
2
34.384615
79
0.516779
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Base tagged type to represent information in SOAP Header. ------------------------------------------------------------------------------ package Web_Services.SOAP.Headers is pragma Preelaborate; type Abstract_SOAP_Header is abstract tagged limited null record; type SOAP_Header_Access is access all Abstract_SOAP_Header'Class; end Web_Services.SOAP.Headers;
Ada
3,715
ads
24
67.545455
78
0.410767
-- Copyright 2015-2021 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Bar; use Bar; procedure Foo_O525_013 is R : Rec_Type; I : Enum_Type := F (R); begin null; end Foo_O525_013;
Ada
838
adb
1
34.916667
73
0.72673
----------------------------------------------------------------------- -- css-print-tests -- Unit tests for CSS printer -- Copyright (C) 2017 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Strings.Unbounded; with Util.Tests; package CSS.Printer.Tests is procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite); type Test is new Util.Tests.Test_Case with record Name : Ada.Strings.Unbounded.Unbounded_String; File : Ada.Strings.Unbounded.Unbounded_String; Expect : Ada.Strings.Unbounded.Unbounded_String; Result : Ada.Strings.Unbounded.Unbounded_String; Compress : Boolean := False; end record; type Test_Case_Access is access all Test; -- Test case name overriding function Name (T : Test) return Util.Tests.Message_String; -- Perform the test. overriding procedure Run_Test (T : in out Test); end CSS.Printer.Tests;
Ada
1,587
ads
3
36.906977
76
0.653434
pragma Ada_2012; pragma Style_Checks (Off); with Interfaces.C; use Interfaces.C; with bits_types_struct_u_jmp_buf_tag_h; package setjmp_h is -- arg-macro: procedure setjmp (env) -- _setjmp (env) -- arg-macro: procedure sigsetjmp (env, savemask) -- __sigsetjmp (env, savemask) -- Copyright (C) 1991-2021 Free Software Foundation, Inc. -- This file is part of the GNU C Library. -- The GNU C Library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- The GNU C Library is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- You should have received a copy of the GNU Lesser General Public -- License along with the GNU C Library; if not, see -- <https://www.gnu.org/licenses/>. -- * ISO C99 Standard: 7.13 Nonlocal jumps <setjmp.h> -- -- Get `__jmp_buf'. type jmp_buf is array (0 .. 0) of aliased bits_types_struct_u_jmp_buf_tag_h.uu_jmp_buf_tag; -- /usr/include/setjmp.h:32 -- Store the calling environment in ENV, also saving the signal mask. -- Return 0. function setjmp (uu_env : access bits_types_struct_u_jmp_buf_tag_h.uu_jmp_buf_tag) return int -- /usr/include/setjmp.h:36 with Import => True, Convention => C, External_Name => "setjmp"; -- Store the calling environment in ENV, also saving the -- signal mask if SAVEMASK is nonzero. Return 0. -- This is the internal name for `sigsetjmp'. -- skipped func __sigsetjmp -- Store the calling environment in ENV, not saving the signal mask. -- Return 0. -- skipped func _setjmp -- Do not save the signal mask. This is equivalent to the `_setjmp' -- BSD function. -- Jump to the environment saved in ENV, making the -- `setjmp' call there return VAL, or 1 if VAL is 0. procedure longjmp (uu_env : access bits_types_struct_u_jmp_buf_tag_h.uu_jmp_buf_tag; uu_val : int) -- /usr/include/setjmp.h:54 with Import => True, Convention => C, External_Name => "longjmp"; -- Same. Usually `_longjmp' is used with `_setjmp', which does not save -- the signal mask. But it is how ENV was saved that determines whether -- `longjmp' restores the mask; `_longjmp' is just an alias. -- skipped func _longjmp -- Use the same type for `jmp_buf' and `sigjmp_buf'. -- The `__mask_was_saved' flag determines whether -- or not `longjmp' will restore the signal mask. type sigjmp_buf is array (0 .. 0) of aliased bits_types_struct_u_jmp_buf_tag_h.uu_jmp_buf_tag; -- /usr/include/setjmp.h:70 -- Store the calling environment in ENV, also saving the -- signal mask if SAVEMASK is nonzero. Return 0. -- Jump to the environment saved in ENV, making the -- sigsetjmp call there return VAL, or 1 if VAL is 0. -- Restore the signal mask if that sigsetjmp call saved it. -- This is just an alias `longjmp'. procedure siglongjmp (uu_env : access bits_types_struct_u_jmp_buf_tag_h.uu_jmp_buf_tag; uu_val : int) -- /usr/include/setjmp.h:80 with Import => True, Convention => C, External_Name => "siglongjmp"; -- Define helper functions to catch unsafe code. end setjmp_h;
Ada
3,582
ads
5
39.8
133
0.68593
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding -- -- -- -- Terminal_Interface.Curses.PutWin -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright 2020 Thomas E. Dickey -- -- Copyright 2000-2002,2003 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control: -- $Revision: 1.5 $ -- Binding Version 01.00 with Ada.Streams.Stream_IO.C_Streams; with Interfaces.C_Streams; with Terminal_Interface.Curses.Aux; use Terminal_Interface.Curses.Aux; package body Terminal_Interface.Curses.PutWin is package ICS renames Interfaces.C_Streams; package ACS renames Ada.Streams.Stream_IO.C_Streams; use type C_Int; procedure Put_Window (Win : Window; File : Ada.Streams.Stream_IO.File_Type) is function putwin (Win : Window; f : ICS.FILEs) return C_Int; pragma Import (C, putwin, "putwin"); R : constant C_Int := putwin (Win, ACS.C_Stream (File)); begin if R /= Curses_Ok then raise Curses_Exception; end if; end Put_Window; function Get_Window (File : Ada.Streams.Stream_IO.File_Type) return Window is function getwin (f : ICS.FILEs) return Window; pragma Import (C, getwin, "getwin"); W : constant Window := getwin (ACS.C_Stream (File)); begin if W = Null_Window then raise Curses_Exception; else return W; end if; end Get_Window; end Terminal_Interface.Curses.PutWin;
Ada
4,077
adb
269
51.607595
78
0.482217
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 5 0 -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with System.Storage_Elements; with System.Unsigned_Types; with Unchecked_Conversion; package body System.Pack_50 is subtype Ofs is System.Storage_Elements.Storage_Offset; subtype Uns is System.Unsigned_Types.Unsigned; subtype N07 is System.Unsigned_Types.Unsigned range 0 .. 7; use type System.Storage_Elements.Storage_Offset; use type System.Unsigned_Types.Unsigned; type Cluster is record E0, E1, E2, E3, E4, E5, E6, E7 : Bits_50; end record; for Cluster use record E0 at 0 range 0 * Bits .. 0 * Bits + Bits - 1; E1 at 0 range 1 * Bits .. 1 * Bits + Bits - 1; E2 at 0 range 2 * Bits .. 2 * Bits + Bits - 1; E3 at 0 range 3 * Bits .. 3 * Bits + Bits - 1; E4 at 0 range 4 * Bits .. 4 * Bits + Bits - 1; E5 at 0 range 5 * Bits .. 5 * Bits + Bits - 1; E6 at 0 range 6 * Bits .. 6 * Bits + Bits - 1; E7 at 0 range 7 * Bits .. 7 * Bits + Bits - 1; end record; for Cluster'Size use Bits * 8; for Cluster'Alignment use Integer'Min (Standard'Maximum_Alignment, 1 + 1 * Boolean'Pos (Bits mod 2 = 0) + 2 * Boolean'Pos (Bits mod 4 = 0)); -- Use maximum possible alignment, given the bit field size, since this -- will result in the most efficient code possible for the field. type Cluster_Ref is access Cluster; function To_Ref is new Unchecked_Conversion (System.Address, Cluster_Ref); -- The following declarations are for the case where the address -- passed to GetU_50 or SetU_50 is not guaranteed to be aligned. -- These routines are used when the packed array is itself a -- component of a packed record, and therefore may not be aligned. type ClusterU is new Cluster; for ClusterU'Alignment use 1; type ClusterU_Ref is access ClusterU; function To_Ref is new Unchecked_Conversion (System.Address, ClusterU_Ref); ------------ -- Get_50 -- ------------ function Get_50 (Arr : System.Address; N : Natural) return Bits_50 is C : constant Cluster_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => return C.E0; when 1 => return C.E1; when 2 => return C.E2; when 3 => return C.E3; when 4 => return C.E4; when 5 => return C.E5; when 6 => return C.E6; when 7 => return C.E7; end case; end Get_50; ------------- -- GetU_50 -- ------------- function GetU_50 (Arr : System.Address; N : Natural) return Bits_50 is C : constant ClusterU_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => return C.E0; when 1 => return C.E1; when 2 => return C.E2; when 3 => return C.E3; when 4 => return C.E4; when 5 => return C.E5; when 6 => return C.E6; when 7 => return C.E7; end case; end GetU_50; ------------ -- Set_50 -- ------------ procedure Set_50 (Arr : System.Address; N : Natural; E : Bits_50) is C : constant Cluster_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => C.E0 := E; when 1 => C.E1 := E; when 2 => C.E2 := E; when 3 => C.E3 := E; when 4 => C.E4 := E; when 5 => C.E5 := E; when 6 => C.E6 := E; when 7 => C.E7 := E; end case; end Set_50; ------------- -- SetU_50 -- ------------- procedure SetU_50 (Arr : System.Address; N : Natural; E : Bits_50) is C : constant ClusterU_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => C.E0 := E; when 1 => C.E1 := E; when 2 => C.E2 := E; when 3 => C.E3 := E; when 4 => C.E4 := E; when 5 => C.E5 := E; when 6 => C.E6 := E; when 7 => C.E7 := E; end case; end SetU_50; end System.Pack_50;
Ada
6,516
adb
1
39.253012
78
0.494629
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . F I N A L I Z A T I O N _ M A S T E R S -- -- -- -- B o d y -- -- -- -- Copyright (C) 2015, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Exceptions; use Ada.Exceptions; with System.Address_Image; with System.HTable; use System.HTable; with System.IO; use System.IO; with System.Soft_Links; use System.Soft_Links; with System.Storage_Elements; use System.Storage_Elements; package body System.Finalization_Masters is -- Finalize_Address hash table types. In general, masters are homogeneous -- collections of controlled objects. Rare cases such as allocations on a -- subpool require heterogeneous masters. The following table provides a -- relation between object address and its Finalize_Address routine. type Header_Num is range 0 .. 127; function Hash (Key : System.Address) return Header_Num; -- Address --> Finalize_Address_Ptr package Finalize_Address_Table is new Simple_HTable (Header_Num => Header_Num, Element => Finalize_Address_Ptr, No_Element => null, Key => System.Address, Hash => Hash, Equal => "="); --------------------------- -- Add_Offset_To_Address -- --------------------------- function Add_Offset_To_Address (Addr : System.Address; Offset : System.Storage_Elements.Storage_Offset) return System.Address is begin return System.Storage_Elements."+" (Addr, Offset); end Add_Offset_To_Address; ------------ -- Attach -- ------------ procedure Attach (N : not null FM_Node_Ptr; L : not null FM_Node_Ptr) is begin Lock_Task.all; Attach_Unprotected (N, L); Unlock_Task.all; -- Note: No need to unlock in case of an exception because the above -- code can never raise one. end Attach; ------------------------ -- Attach_Unprotected -- ------------------------ procedure Attach_Unprotected (N : not null FM_Node_Ptr; L : not null FM_Node_Ptr) is begin L.Next.Prev := N; N.Next := L.Next; L.Next := N; N.Prev := L; end Attach_Unprotected; --------------- -- Base_Pool -- --------------- function Base_Pool (Master : Finalization_Master) return Any_Storage_Pool_Ptr is begin return Master.Base_Pool; end Base_Pool; ----------------------------------------- -- Delete_Finalize_Address_Unprotected -- ----------------------------------------- procedure Delete_Finalize_Address_Unprotected (Obj : System.Address) is begin Finalize_Address_Table.Remove (Obj); end Delete_Finalize_Address_Unprotected; ------------ -- Detach -- ------------ procedure Detach (N : not null FM_Node_Ptr) is begin Lock_Task.all; Detach_Unprotected (N); Unlock_Task.all; -- Note: No need to unlock in case of an exception because the above -- code can never raise one. end Detach; ------------------------ -- Detach_Unprotected -- ------------------------ procedure Detach_Unprotected (N : not null FM_Node_Ptr) is begin if N.Prev /= null and then N.Next /= null then N.Prev.Next := N.Next; N.Next.Prev := N.Prev; N.Prev := null; N.Next := null; end if; end Detach_Unprotected; -------------- -- Finalize -- -------------- overriding procedure Finalize (Master : in out Finalization_Master) is Cleanup : Finalize_Address_Ptr; Curr_Ptr : FM_Node_Ptr; Ex_Occur : Exception_Occurrence; Obj_Addr : Address; Raised : Boolean := False; function Is_Empty_List (L : not null FM_Node_Ptr) return Boolean; -- Determine whether a list contains only one element, the dummy head ------------------- -- Is_Empty_List -- ------------------- function Is_Empty_List (L : not null FM_Node_Ptr) return Boolean is begin return L.Next = L and then L.Prev = L; end Is_Empty_List; -- Start of processing for Finalize begin Lock_Task.all; -- Synchronization: -- Read - allocation, finalization -- Write - finalization if Master.Finalization_Started then Unlock_Task.all; -- Double finalization may occur during the handling of stand alone -- libraries or the finalization of a pool with subpools. Due to the -- potential aliasing of masters in these two cases, do not process -- the same master twice. return; end if; -- Lock the master to prevent any allocations while the objects are -- being finalized. The master remains locked because either the master -- is explicitly deallocated or the associated access type is about to -- go out of scope. -- Synchronization: -- Read - allocation, finalization -- Write - finalization Master.Finalization_Started := True; while not Is_Empty_List (Master.Objects'Unchecked_Access) loop Curr_Ptr := Master.Objects.Next; -- Synchronization: -- Write - allocation, deallocation, finalization Detach_Unprotected (Curr_Ptr); -- Skip the list header in order to offer proper object layout for -- finalization. Obj_Addr := Curr_Ptr.all'Address + Header_Size; -- Retrieve TSS primitive Finalize_Address depending on the master's -- mode of operation. -- Synchronization: -- Read - allocation, finalization -- Write - outside if Master.Is_Homogeneous then -- Synchronization: -- Read - finalization -- Write - allocation, outside Cleanup := Master.Finalize_Address; else -- Synchronization: -- Read - finalization -- Write - allocation, deallocation Cleanup := Finalize_Address_Unprotected (Obj_Addr); end if; begin Cleanup (Obj_Addr); exception when Fin_Occur : others => if not Raised then Raised := True; Save_Occurrence (Ex_Occur, Fin_Occur); end if; end; -- When the master is a heterogeneous collection, destroy the object -- - Finalize_Address pair since it is no longer needed. -- Synchronization: -- Read - finalization -- Write - outside if not Master.Is_Homogeneous then -- Synchronization: -- Read - finalization -- Write - allocation, deallocation, finalization Delete_Finalize_Address_Unprotected (Obj_Addr); end if; end loop; Unlock_Task.all; -- If the finalization of a particular object failed or Finalize_Address -- was not set, reraise the exception now. if Raised then Reraise_Occurrence (Ex_Occur); end if; end Finalize; ---------------------- -- Finalize_Address -- ---------------------- function Finalize_Address (Master : Finalization_Master) return Finalize_Address_Ptr is begin return Master.Finalize_Address; end Finalize_Address; ---------------------------------- -- Finalize_Address_Unprotected -- ---------------------------------- function Finalize_Address_Unprotected (Obj : System.Address) return Finalize_Address_Ptr is begin return Finalize_Address_Table.Get (Obj); end Finalize_Address_Unprotected; -------------------------- -- Finalization_Started -- -------------------------- function Finalization_Started (Master : Finalization_Master) return Boolean is begin return Master.Finalization_Started; end Finalization_Started; ---------- -- Hash -- ---------- function Hash (Key : System.Address) return Header_Num is begin return Header_Num (To_Integer (Key) mod Integer_Address (Header_Num'Range_Length)); end Hash; ----------------- -- Header_Size -- ----------------- function Header_Size return System.Storage_Elements.Storage_Count is begin return FM_Node'Size / Storage_Unit; end Header_Size; ---------------- -- Initialize -- ---------------- overriding procedure Initialize (Master : in out Finalization_Master) is begin -- The dummy head must point to itself in both directions Master.Objects.Next := Master.Objects'Unchecked_Access; Master.Objects.Prev := Master.Objects'Unchecked_Access; end Initialize; -------------------- -- Is_Homogeneous -- -------------------- function Is_Homogeneous (Master : Finalization_Master) return Boolean is begin return Master.Is_Homogeneous; end Is_Homogeneous; ------------- -- Objects -- ------------- function Objects (Master : Finalization_Master) return FM_Node_Ptr is begin return Master.Objects'Unrestricted_Access; end Objects; ------------------ -- Print_Master -- ------------------ procedure Print_Master (Master : Finalization_Master) is Head : constant FM_Node_Ptr := Master.Objects'Unrestricted_Access; Head_Seen : Boolean := False; N_Ptr : FM_Node_Ptr; begin -- Output the basic contents of a master -- Master : 0x123456789 -- Is_Hmgen : TURE <or> FALSE -- Base_Pool: null <or> 0x123456789 -- Fin_Addr : null <or> 0x123456789 -- Fin_Start: TRUE <or> FALSE Put ("Master : "); Put_Line (Address_Image (Master'Address)); Put ("Is_Hmgen : "); Put_Line (Master.Is_Homogeneous'Img); Put ("Base_Pool: "); if Master.Base_Pool = null then Put_Line ("null"); else Put_Line (Address_Image (Master.Base_Pool'Address)); end if; Put ("Fin_Addr : "); if Master.Finalize_Address = null then Put_Line ("null"); else Put_Line (Address_Image (Master.Finalize_Address'Address)); end if; Put ("Fin_Start: "); Put_Line (Master.Finalization_Started'Img); -- Output all chained elements. The format is the following: -- ^ <or> ? <or> null -- |Header: 0x123456789 (dummy head) -- | Prev: 0x123456789 -- | Next: 0x123456789 -- V -- ^ - the current element points back to the correct element -- ? - the current element points back to an erroneous element -- n - the current element points back to null -- Header - the address of the list header -- Prev - the address of the list header which the current element -- points back to -- Next - the address of the list header which the current element -- points to -- (dummy head) - present if dummy head N_Ptr := Head; while N_Ptr /= null loop -- Should never be null Put_Line ("V"); -- We see the head initially; we want to exit when we see the head a -- second time. if N_Ptr = Head then exit when Head_Seen; Head_Seen := True; end if; -- The current element is null. This should never happen since the -- list is circular. if N_Ptr.Prev = null then Put_Line ("null (ERROR)"); -- The current element points back to the correct element elsif N_Ptr.Prev.Next = N_Ptr then Put_Line ("^"); -- The current element points to an erroneous element else Put_Line ("? (ERROR)"); end if; -- Output the header and fields Put ("|Header: "); Put (Address_Image (N_Ptr.all'Address)); -- Detect the dummy head if N_Ptr = Head then Put_Line (" (dummy head)"); else Put_Line (""); end if; Put ("| Prev: "); if N_Ptr.Prev = null then Put_Line ("null"); else Put_Line (Address_Image (N_Ptr.Prev.all'Address)); end if; Put ("| Next: "); if N_Ptr.Next = null then Put_Line ("null"); else Put_Line (Address_Image (N_Ptr.Next.all'Address)); end if; N_Ptr := N_Ptr.Next; end loop; end Print_Master; ------------------- -- Set_Base_Pool -- ------------------- procedure Set_Base_Pool (Master : in out Finalization_Master; Pool_Ptr : Any_Storage_Pool_Ptr) is begin Master.Base_Pool := Pool_Ptr; end Set_Base_Pool; -------------------------- -- Set_Finalize_Address -- -------------------------- procedure Set_Finalize_Address (Master : in out Finalization_Master; Fin_Addr_Ptr : Finalize_Address_Ptr) is begin -- Synchronization: -- Read - finalization -- Write - allocation, outside Lock_Task.all; Set_Finalize_Address_Unprotected (Master, Fin_Addr_Ptr); Unlock_Task.all; end Set_Finalize_Address; -------------------------------------- -- Set_Finalize_Address_Unprotected -- -------------------------------------- procedure Set_Finalize_Address_Unprotected (Master : in out Finalization_Master; Fin_Addr_Ptr : Finalize_Address_Ptr) is begin if Master.Finalize_Address = null then Master.Finalize_Address := Fin_Addr_Ptr; end if; end Set_Finalize_Address_Unprotected; ---------------------------------------------------- -- Set_Heterogeneous_Finalize_Address_Unprotected -- ---------------------------------------------------- procedure Set_Heterogeneous_Finalize_Address_Unprotected (Obj : System.Address; Fin_Addr_Ptr : Finalize_Address_Ptr) is begin Finalize_Address_Table.Set (Obj, Fin_Addr_Ptr); end Set_Heterogeneous_Finalize_Address_Unprotected; -------------------------- -- Set_Is_Heterogeneous -- -------------------------- procedure Set_Is_Heterogeneous (Master : in out Finalization_Master) is begin -- Synchronization: -- Read - finalization -- Write - outside Lock_Task.all; Master.Is_Homogeneous := False; Unlock_Task.all; end Set_Is_Heterogeneous; end System.Finalization_Masters;
Ada
16,740
adb
7
30.162162
79
0.541517
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G E T _ T A R G -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- ------------------------------------------------------------------------------ -- Version shared by various Ada based back-ends (e.g. gnat2scil, gnat2why) with System.OS_Lib; use System.OS_Lib; with GNAT.Directory_Operations; use GNAT.Directory_Operations; package body Get_Targ is ----------------------- -- Get_Bits_Per_Unit -- ----------------------- function Get_Bits_Per_Unit return Pos is begin return 8; end Get_Bits_Per_Unit; ----------------------- -- Get_Bits_Per_Word -- ----------------------- function Get_Bits_Per_Word return Pos is begin return 32; end Get_Bits_Per_Word; ------------------- -- Get_Char_Size -- ------------------- function Get_Char_Size return Pos is begin return 8; end Get_Char_Size; ---------------------- -- Get_Wchar_T_Size -- ---------------------- function Get_Wchar_T_Size return Pos is begin return 16; end Get_Wchar_T_Size; -------------------- -- Get_Short_Size -- -------------------- function Get_Short_Size return Pos is begin return 16; end Get_Short_Size; ------------------ -- Get_Int_Size -- ------------------ function Get_Int_Size return Pos is begin return 32; end Get_Int_Size; ------------------- -- Get_Long_Size -- ------------------- function Get_Long_Size return Pos is begin return 64; end Get_Long_Size; ------------------------ -- Get_Long_Long_Size -- ------------------------ function Get_Long_Long_Size return Pos is begin return 64; end Get_Long_Long_Size; ---------------------- -- Get_Pointer_Size -- ---------------------- function Get_Pointer_Size return Pos is begin return 64; end Get_Pointer_Size; --------------------------- -- Get_Maximum_Alignment -- --------------------------- function Get_Maximum_Alignment return Pos is begin return 4; end Get_Maximum_Alignment; ------------------------------------ -- Get_System_Allocator_Alignment -- ------------------------------------ function Get_System_Allocator_Alignment return Nat is begin return 1; end Get_System_Allocator_Alignment; ------------------------ -- Get_Float_Words_BE -- ------------------------ function Get_Float_Words_BE return Nat is begin return 1; end Get_Float_Words_BE; ------------------ -- Get_Words_BE -- ------------------ function Get_Words_BE return Nat is begin return 1; end Get_Words_BE; ------------------ -- Get_Bytes_BE -- ------------------ function Get_Bytes_BE return Nat is begin return 1; end Get_Bytes_BE; ----------------- -- Get_Bits_BE -- ----------------- function Get_Bits_BE return Nat is begin return 1; end Get_Bits_BE; --------------------- -- Get_Short_Enums -- --------------------- function Get_Short_Enums return Int is begin return 0; end Get_Short_Enums; -------------------------- -- Get_Strict_Alignment -- -------------------------- function Get_Strict_Alignment return Nat is begin return 1; end Get_Strict_Alignment; -------------------------------- -- Get_Double_Float_Alignment -- -------------------------------- function Get_Double_Float_Alignment return Nat is begin return 0; end Get_Double_Float_Alignment; --------------------------------- -- Get_Double_Scalar_Alignment -- --------------------------------- function Get_Double_Scalar_Alignment return Nat is begin return 0; end Get_Double_Scalar_Alignment; ----------------------------- -- Get_Max_Unaligned_Field -- ----------------------------- function Get_Max_Unaligned_Field return Pos is begin return 64; -- Can be different on some targets (e.g., AAMP) end Get_Max_Unaligned_Field; ---------------------- -- Digits_From_Size -- ---------------------- function Digits_From_Size (Size : Pos) return Pos is begin case Size is when 32 => return 6; when 48 => return 9; when 64 => return 15; when 96 => return 18; when 128 => return 18; when others => raise Program_Error; end case; end Digits_From_Size; ----------------------------- -- Register_Back_End_Types -- ----------------------------- procedure Register_Back_End_Types (Call_Back : Register_Type_Proc) is Float_Str : C_String := (others => ASCII.NUL); Double_Str : C_String := (others => ASCII.NUL); begin Float_Str (Float_Str'First .. Float_Str'First + 4) := "float"; Call_Back (C_Name => Float_Str, Digs => 6, Complex => False, Count => 0, Float_Rep => IEEE_Binary, Precision => 32, Size => 32, Alignment => 32); Double_Str (Double_Str'First .. Double_Str'First + 5) := "double"; Call_Back (C_Name => Double_Str, Digs => 15, Complex => False, Count => 0, Float_Rep => IEEE_Binary, Precision => 64, Size => 64, Alignment => 64); end Register_Back_End_Types; --------------------- -- Width_From_Size -- --------------------- function Width_From_Size (Size : Pos) return Pos is begin case Size is when 8 => return 4; when 16 => return 6; when 32 => return 11; when 64 => return 21; when others => raise Program_Error; end case; end Width_From_Size; ------------------------------ -- Get_Back_End_Config_File -- ------------------------------ function Get_Back_End_Config_File return String_Ptr is function Exec_Name return String; -- Return name of the current executable (from argv[0]) function Get_Target_File (Dir : String) return String_Ptr; -- Return Dir & "target.atp" if found, null otherwise --------------- -- Exec_Name -- --------------- function Exec_Name return String is type Arg_Array is array (Nat) of Big_String_Ptr; type Arg_Array_Ptr is access all Arg_Array; gnat_argv : Arg_Array_Ptr; pragma Import (C, gnat_argv); begin for J in 1 .. Natural'Last loop if gnat_argv (0) (J) = ASCII.NUL then return gnat_argv (0) (1 .. J - 1); end if; end loop; raise Program_Error; end Exec_Name; --------------------- -- Get_Target_File -- --------------------- function Get_Target_File (Dir : String) return String_Ptr is F : constant String := Dir & "target.atp"; begin if Is_Regular_File (F) then return new String'(F); else return null; end if; end Get_Target_File; Exec : constant String := Exec_Name; -- Start of processing for Get_Back_End_Config_File begin if Is_Absolute_Path (Exec) then return Get_Target_File (Dir_Name (Exec)); else return Get_Target_File (Dir_Name (Locate_Exec_On_Path (Exec).all)); end if; end Get_Back_End_Config_File; end Get_Targ;
Ada
8,898
adb
null
27.045593
78
0.478759
pragma License (Unrestricted); -- implementation unit specialized for FreeBSD with C; function System.Environment_Block return C.char_ptr_ptr; pragma Preelaborate (System.Environment_Block); pragma Inline (System.Environment_Block);
Ada
234
ads
33
33.428571
56
0.833333
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S C O S -- -- -- -- B o d y -- -- -- -- Copyright (C) 2009-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package body SCOs is ---------------- -- Initialize -- ---------------- procedure Initialize is begin SCO_Table.Init; SCO_Unit_Table.Init; SCO_Instance_Table.Init; -- Set dummy zeroth entry for sort routine, real entries start at 1 SCO_Unit_Table.Increment_Last; end Initialize; end SCOs;
Ada
2,240
adb
null
50.909091
78
0.399554
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . B B . T I M E -- -- -- -- S p e c -- -- -- -- Copyright (C) 1999-2002 Universidad Politecnica de Madrid -- -- Copyright (C) 2003-2004 The European Space Agency -- -- Copyright (C) 2003-2021, AdaCore -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNARL is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- -- The port of GNARL to bare board targets was initially developed by the -- -- Real-Time Systems Group at the Technical University of Madrid. -- -- -- ------------------------------------------------------------------------------ -- Package in charge of implementing clock and timer functionalities pragma Restrictions (No_Elaboration_Code); with System.Multiprocessors; package System.BB.Time is pragma Preelaborate; type Time is mod 2 ** 64; for Time'Size use 64; ------------------ -- Time keeping -- ------------------ -- Time is represented at this level as a 64-bit unsigned number. We assume -- that the Board_Support.Read_Clock function provides access to a hardware -- clock with a resolution of 20 microseconds or better, counting from -- 0 to Board_Support.Max_Timer_Interval over a period of at least 0.735 -- seconds, and returning a value of the 32-bit Timer_Interval type. The -- clock resolution should be an integral number of nanoseconds between 1 -- and 20_000. -- In addition, Board_Support provides an alarm facility, generating an -- alarm interrupt at up to Max_Timer_Interval clock ticks in the future. -- The clock frequency is the same as for Read_Clock, but it may or may not -- use the same timer. See the next section for more information. -- The Time package uses these facilities to keep a 64-bit clock that will -- allow a program to keep track of up to 50 years in the future without -- having the most significant bit set. This means it is always safe to -- subtract two Clock readings to determine a Time_Span without overflow. -- We need to support a clock running for 50 years, so this requires -- a hardware clock period of at least 1_577_880_000 / 2**31 or 0.735 -- seconds. As comparison, a LEON2 at 80 MHz with 24-bit clock and the -- minimum prescale factor of 4, has a period of 2**24 / (80E6 / 4) = 0.839 -- seconds, while a 200 MHz LEON3 has a period of 2**32 / (200E6 / 5) = -- 107 seconds. For faster clocks or smaller clock width, higher prescaler -- values may be needed to achieve 50 year run time. The prescale factor -- should be chosen such that the period between clock ticks is an integral -- number of nanoseconds between 1 and 20_000. type Time_Span is range -2 ** 63 .. 2 ** 63 - 1; for Time_Span'Size use 64; -- Time_Span represents the length of time intervals, and it is defined as -- a 64-bit signed integer. ------------ -- Alarms -- ------------ -- Alarms are used for two purposes: -- * Waking up tasks that sleep as result of Delay_Until -- * Clock updates, to prevent undetected wrap-around of the -- hardware clock -- Alarms use the same time unit as the clock used for time keeping, -- and need to be able to provide an alarm up to slightly less than -- Max_Timer_Interval ticks in the future; there always will be a pending -- alarm within this time frame because of required clock updates. A -- requirement is that an alarm always can be handled within 1/8th of the -- time it takes the hardware clock to wrap around. This gives an upper -- bound to how early we have to set the alarm to ensure timely clock -- updates. This will result in an interrupt rate 14% higher than -- absolutely necessary. However, as long as sleep-related alarms are -- sufficiently frequent, no extra clock-related interrupts are necessary. -------------------- -- Execution time -- -------------------- -- System.BB.Execution_Time will set these hooks to enable execution time -- computation only when needed. Scheduling_Event_Hook : access procedure := null; -- This hooks must be called when the charged account change: in case of -- rescheduling and before and after the handling of interrupt. -------------------- -- Initialization -- -------------------- procedure Initialize_Timers; -- Initialize this package (clock and alarm handlers). Must be called -- before any other functions. ---------------- -- Operations -- ---------------- function Epoch return Time; -- Get the reference startup time function Clock return Time; -- Get the number of ticks elapsed since startup procedure Delay_Until (T : Time); -- Suspend the calling thread until the absolute time specified by T function Get_Next_Timeout (CPU_Id : System.Multiprocessors.CPU) return Time; -- Get the date of the next alarm or timing event procedure Update_Alarm (Alarm : Time); -- Re-configure the timer if "Alarm" is earlier than the Pending_Alarm. -- Update_Alarm is the only routine allowed to set an alarm. -- Execution time -- Ada allows reading the execution time of any task. To support that, we -- need to have exclusive access to the time (which is costly as it is not -- possible to atomically read that value without using a spin lock and -- masking interrupts). To avoid that cost, let's split that type in two -- parts (that can be read or written atomically by the processor). It -- is not possible to read atomically the whole value, but it is possible -- to read a coherent value: if the time has been changed from A to B -- while being read, the value read is between A and B. Because of the -- architecture of the runtime, the execution time is always written -- atomically (written by the processor executing the task, within the -- kernel). -- The type Composite_Execution_Time is declared here so that s-bbthre -- doesn't depend on s-bbtiev. But this type is used by s-bbtiev. type Word is mod 2 ** 32; type Composite_Execution_Time is record High : Word; pragma Atomic (High); -- High part of execution time Low : Word; pragma Atomic (Low); -- Low part of execution time end record; Initial_Composite_Execution_Time : constant Composite_Execution_Time := (0, 0); -- The initial value for Composite_Execution_Time private pragma Inline (Clock); pragma Inline (Epoch); end System.BB.Time;
Ada
8,784
ads
null
47.481081
79
0.563525
-- OEML _ REST API -- This section will provide necessary information about the `CoinAPI OEML REST API` protocol. <br/> This API is also available in the Postman application: <a href=\"https://postman.coinapi.io/\" target=\"_blank\">https://postman.coinapi.io/</a> <br/><br/> Implemented Standards: * [HTTP1.0](https://datatracker.ietf.org/doc/html/rfc1945) * [HTTP1.1](https://datatracker.ietf.org/doc/html/rfc2616) * [HTTP2.0](https://datatracker.ietf.org/doc/html/rfc7540) -- -- The version of the OpenAPI document: v1 -- Contact: support@coinapi.io -- -- NOTE: This package is auto generated by OpenAPI-Generator 5.2.1. -- https://openapi-generator.tech -- Do not edit the class manually. package body .Models is pragma Style_Checks ("-mr"); pragma Warnings (Off, "*use clause for package*"); use Swagger.Streams; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in RejectReason_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in RejectReason_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out RejectReason_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out RejectReason_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : RejectReason_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in MessageReject_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("type", Value.P_Type); Serialize (Into, "reject_reason", Value.Reject_Reason); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("message", Value.Message); Into.Write_Entity ("rejected_message", Value.Rejected_Message); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in MessageReject_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out MessageReject_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "type", Value.P_Type); Deserialize (Object, "reject_reason", Value.Reject_Reason); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "message", Value.Message); Swagger.Streams.Deserialize (Object, "rejected_message", Value.Rejected_Message); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out MessageReject_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : MessageReject_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ValidationError_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("type", Value.P_Type); Into.Write_Entity ("title", Value.Title); Serialize (Into, "status", Value.Status); Into.Write_Entity ("traceId", Value.Trace_Id); Into.Write_Entity ("errors", Value.Errors); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ValidationError_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ValidationError_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "type", Value.P_Type); Swagger.Streams.Deserialize (Object, "title", Value.Title); Swagger.Streams.Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "traceId", Value.Trace_Id); Swagger.Streams.Deserialize (Object, "errors", Value.Errors); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ValidationError_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : ValidationError_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdType_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdType_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdType_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdType_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdType_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdStatus_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdStatus_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdStatus_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdStatus_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdStatus_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelAllRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelAllRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelAllRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelAllRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderCancelAllRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelSingleRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelSingleRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelSingleRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelSingleRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderCancelSingleRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdSide_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdSide_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdSide_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdSide_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdSide_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TimeInForce_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TimeInForce_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TimeInForce_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TimeInForce_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : TimeInForce_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderNewSingleRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "amount_order", Value.Amount_Order); Serialize (Into, "price", Value.Price); Serialize (Into, "side", Value.Side); Serialize (Into, "order_type", Value.Order_Type); Serialize (Into, "time_in_force", Value.Time_In_Force); Serialize (Into, "expire_time", Value.Expire_Time); Serialize (Into, "exec_inst", Value.Exec_Inst); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderNewSingleRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderNewSingleRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "amount_order", Value.Amount_Order); Swagger.Streams.Deserialize (Object, "price", Value.Price); Deserialize (Object, "side", Value.Side); Deserialize (Object, "order_type", Value.Order_Type); Deserialize (Object, "time_in_force", Value.Time_In_Force); Swagger.Streams.Deserialize (Object, "expire_time", Value.Expire_Time); Swagger.Streams.Deserialize (Object, "exec_inst", Value.Exec_Inst); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderNewSingleRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderNewSingleRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Fills_Type) is begin Into.Start_Entity (Name); Serialize (Into, "time", Value.Time); Serialize (Into, "price", Value.Price); Serialize (Into, "amount", Value.Amount); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Fills_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Fills_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "time", Value.Time); Swagger.Streams.Deserialize (Object, "price", Value.Price); Swagger.Streams.Deserialize (Object, "amount", Value.Amount); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Fills_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Fills_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReport_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "amount_order", Value.Amount_Order); Serialize (Into, "price", Value.Price); Serialize (Into, "side", Value.Side); Serialize (Into, "order_type", Value.Order_Type); Serialize (Into, "time_in_force", Value.Time_In_Force); Serialize (Into, "expire_time", Value.Expire_Time); Serialize (Into, "exec_inst", Value.Exec_Inst); Into.Write_Entity ("client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Serialize (Into, "amount_open", Value.Amount_Open); Serialize (Into, "amount_filled", Value.Amount_Filled); Serialize (Into, "avg_px", Value.Avg_Px); Serialize (Into, "status", Value.Status); Serialize (Into, "status_history", Value.Status_History); Into.Write_Entity ("error_message", Value.Error_Message); Serialize (Into, "fills", Value.Fills); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReport_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReport_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "amount_order", Value.Amount_Order); Swagger.Streams.Deserialize (Object, "price", Value.Price); Deserialize (Object, "side", Value.Side); Deserialize (Object, "order_type", Value.Order_Type); Deserialize (Object, "time_in_force", Value.Time_In_Force); Swagger.Streams.Deserialize (Object, "expire_time", Value.Expire_Time); Swagger.Streams.Deserialize (Object, "exec_inst", Value.Exec_Inst); Swagger.Streams.Deserialize (Object, "client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "amount_open", Value.Amount_Open); Swagger.Streams.Deserialize (Object, "amount_filled", Value.Amount_Filled); Swagger.Streams.Deserialize (Object, "avg_px", Value.Avg_Px); Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "status_history", Value.Status_History); Swagger.Streams.Deserialize (Object, "error_message", Value.Error_Message); Deserialize (Object, "fills", Value.Fills); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReport_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderExecutionReport_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReportAllOf_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Serialize (Into, "amount_open", Value.Amount_Open); Serialize (Into, "amount_filled", Value.Amount_Filled); Serialize (Into, "avg_px", Value.Avg_Px); Serialize (Into, "status", Value.Status); Serialize (Into, "status_history", Value.Status_History); Into.Write_Entity ("error_message", Value.Error_Message); Serialize (Into, "fills", Value.Fills); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReportAllOf_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReportAllOf_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "amount_open", Value.Amount_Open); Swagger.Streams.Deserialize (Object, "amount_filled", Value.Amount_Filled); Swagger.Streams.Deserialize (Object, "avg_px", Value.Avg_Px); Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "status_history", Value.Status_History); Swagger.Streams.Deserialize (Object, "error_message", Value.Error_Message); Deserialize (Object, "fills", Value.Fills); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReportAllOf_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderExecutionReportAllOf_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BalanceData_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("asset_id_exchange", Value.Asset_Id_Exchange); Into.Write_Entity ("asset_id_coinapi", Value.Asset_Id_Coinapi); Serialize (Into, "balance", Value.Balance); Serialize (Into, "available", Value.Available); Serialize (Into, "locked", Value.Locked); Into.Write_Entity ("last_updated_by", Value.Last_Updated_By); Serialize (Into, "rate_usd", Value.Rate_Usd); Serialize (Into, "traded", Value.Traded); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BalanceData_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BalanceData_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "asset_id_exchange", Value.Asset_Id_Exchange); Swagger.Streams.Deserialize (Object, "asset_id_coinapi", Value.Asset_Id_Coinapi); Swagger.Streams.Deserialize (Object, "balance", Value.Balance); Swagger.Streams.Deserialize (Object, "available", Value.Available); Swagger.Streams.Deserialize (Object, "locked", Value.Locked); Swagger.Streams.Deserialize (Object, "last_updated_by", Value.Last_Updated_By); Swagger.Streams.Deserialize (Object, "rate_usd", Value.Rate_Usd); Swagger.Streams.Deserialize (Object, "traded", Value.Traded); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BalanceData_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : BalanceData_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Balance_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Serialize (Into, "data", Value.Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Balance_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Balance_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Deserialize (Object, "data", Value.Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Balance_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Balance_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Position_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Serialize (Into, "data", Value.Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Position_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Position_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Deserialize (Object, "data", Value.Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Position_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Position_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PositionData_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "avg_entry_price", Value.Avg_Entry_Price); Serialize (Into, "quantity", Value.Quantity); Serialize (Into, "side", Value.Side); Serialize (Into, "unrealized_pnl", Value.Unrealized_Pnl); Serialize (Into, "leverage", Value.Leverage); Into.Write_Entity ("cross_margin", Value.Cross_Margin); Serialize (Into, "liquidation_price", Value.Liquidation_Price); Into.Write_Entity ("raw_data", Value.Raw_Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PositionData_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PositionData_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "avg_entry_price", Value.Avg_Entry_Price); Swagger.Streams.Deserialize (Object, "quantity", Value.Quantity); Deserialize (Object, "side", Value.Side); Swagger.Streams.Deserialize (Object, "unrealized_pnl", Value.Unrealized_Pnl); Swagger.Streams.Deserialize (Object, "leverage", Value.Leverage); Swagger.Streams.Deserialize (Object, "cross_margin", Value.Cross_Margin); Swagger.Streams.Deserialize (Object, "liquidation_price", Value.Liquidation_Price); Deserialize (Object, "raw_data", Value.Raw_Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PositionData_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : PositionData_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; end .Models;
Ada
34,961
adb
357
37.714132
468
0.622665
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>toplevel</name> <ret_bitwidth>32</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>MAXI</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>4</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>ram</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>ram</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>length_r</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>length</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>height</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>height</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>r</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>g</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>g</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>b</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>b</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>158</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_8"> <Value> <Obj> <type>0</type> <id>11</id> <name>ram_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>ram</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>231</item> <item>232</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>12</id> <name>ram1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>234</item> <item>235</item> <item>237</item> <item>239</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>13</id> <name>tmp_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>240</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>14</id> <name>MAXI_addr</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>241</item> <item>242</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>31</id> <name>length_read</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>/home/userfs/q/qj544/w2k/QLight</first> <second class_id="12" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName>length</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>244</item> <item>245</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>32</id> <name>height_read</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName>height</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>246</item> <item>247</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>33</id> <name>tmp_8</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>248</item> <item>249</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>34</id> <name>tmp</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>250</item> <item>251</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>35</id> <name>tmp_7</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>252</item> <item>253</item> </oprand_edges> <opcode>mul</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>5.74</m_delay> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_9</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>254</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>37</id> <name>p_add_i32_shr_cast7</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>255</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>38</id> <name>MAXI_addr_rd_req</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>257</item> <item>258</item> <item>259</item> </oprand_edges> <opcode>readreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>6.56</m_delay> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>39</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>260</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>41</id> <name>indvar</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>262</item> <item>263</item> <item>264</item> <item>265</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>42</id> <name>exitcond</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>266</item> <item>267</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.46</m_delay> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>44</id> <name>indvar_next</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>268</item> <item>270</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.49</m_delay> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>45</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>271</item> <item>272</item> <item>273</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>50</id> <name>indvar1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>274</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>51</id> <name>MAXI_addr_read</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>276</item> <item>277</item> <item>883</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>6.56</m_delay> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>52</id> <name>sectionData_addr</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>278</item> <item>280</item> <item>281</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>53</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>282</item> <item>283</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>55</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>284</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>57</id> <name>p_0111_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>286</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>58</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>76</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>76</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>288</item> <item>289</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>59</id> <name>tmp_11</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>290</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>60</id> <name>cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>291</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>61</id> <name>cast3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>292</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>62</id> <name>bound</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>293</item> <item>294</item> </oprand_edges> <opcode>mul</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>5.74</m_delay> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>63</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>295</item> <item>296</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>64</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>297</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>66</id> <name>indvar_flatten9</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>298</item> <item>299</item> <item>300</item> <item>301</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>67</id> <name>x</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>303</item> <item>304</item> <item>305</item> <item>306</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>68</id> <name>y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>y</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>307</item> <item>308</item> <item>309</item> <item>310</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>70</id> <name>exitcond_flatten1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>311</item> <item>312</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.77</m_delay> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>71</id> <name>indvar_flatten_next1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>313</item> <item>315</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.52</m_delay> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>72</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>316</item> <item>317</item> <item>318</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>77</id> <name>exitcond1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>528</item> <item>529</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>78</id> <name>y_mid2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>530</item> <item>531</item> <item>532</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>79</id> <name>x_s</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>533</item> <item>534</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>80</id> <name>x_cast_mid2_v</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>535</item> <item>536</item> <item>537</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>81</id> <name>tmp_12</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>538</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>83</id> <name>tmp_13</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>539</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>87</id> <name>tmp_3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>540</item> <item>541</item> </oprand_edges> <opcode>mul</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.36</m_delay> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>88</id> <name>tmp_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>542</item> <item>543</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.02</m_delay> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>89</id> <name>tmp_14</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>544</item> <item>546</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>90</id> <name>current_V</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>88</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName>current.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>547</item> <item>548</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>91</id> <name>tmp_6</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>549</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>92</id> <name>sectionData_addr_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>550</item> <item>551</item> <item>552</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>93</id> <name>sectionData_load</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixelB</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>553</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>94</id> <name>lhs_V_cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>554</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>95</id> <name>r_V</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>555</item> <item>556</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>96</id> <name>tmp_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>557</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>97</id> <name>sectionData_addr_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>558</item> <item>559</item> <item>560</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>98</id> <name>sectionData_load_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixelG</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>561</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>99</id> <name>r_V_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>562</item> <item>563</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>100</id> <name>tmp_s</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>564</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>101</id> <name>sectionData_addr_3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>565</item> <item>566</item> <item>567</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>102</id> <name>sectionData_load_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>89</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixelR</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>568</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>103</id> <name>numberOfPixelsVisted_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>569</item> <item>884</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>104</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>570</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>106</id> <name>i_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>319</item> <item>320</item> <item>321</item> <item>322</item> </oprand_edges> <opcode>phi</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>107</id> <name>tmp_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>323</item> <item>324</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.99</m_delay> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>108</id> <name>i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>325</item> <item>327</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.54</m_delay> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>109</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>328</item> <item>329</item> <item>330</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>111</id> <name>i_i_cast5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>331</item> </oprand_edges> <opcode>zext</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>116</id> <name>p_shl_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>333</item> <item>334</item> <item>336</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>117</id> <name>p_shl_i_cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>337</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>118</id> <name>tmp_13_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>338</item> <item>339</item> </oprand_edges> <opcode>sub</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>1.81</m_delay> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>119</id> <name>tmp_14_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>340</item> </oprand_edges> <opcode>sext</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>120</id> <name>visited_addr</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>341</item> <item>342</item> <item>343</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>121</id> <name>visited_load</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel2B</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>344</item> </oprand_edges> <opcode>load</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>122</id> <name>tmp_15_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>345</item> <item>347</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.94</m_delay> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>123</id> <name>tmp_16_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>348</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>124</id> <name>visited_addr_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>349</item> <item>350</item> <item>351</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>125</id> <name>visited_load_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel2G</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>352</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>1</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>126</id> <name>tmp_17_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>353</item> <item>355</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.94</m_delay> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>127</id> <name>tmp_18_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>356</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>128</id> <name>visited_addr_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>357</item> <item>358</item> <item>359</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_86"> <Value> <Obj> <type>0</type> <id>129</id> <name>visited_load_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel2R</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>360</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_87"> <Value> <Obj> <type>0</type> <id>130</id> <name>tmp_i_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>361</item> <item>362</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_88"> <Value> <Obj> <type>0</type> <id>131</id> <name>tmp_i_i_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>363</item> <item>364</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>1</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_89"> <Value> <Obj> <type>0</type> <id>132</id> <name>tmp_24_i_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>365</item> <item>366</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_90"> <Value> <Obj> <type>0</type> <id>133</id> <name>tmp1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>367</item> <item>368</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>1</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_91"> <Value> <Obj> <type>0</type> <id>134</id> <name>val_assign</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName>val</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>369</item> <item>370</item> <item>2147483647</item> </oprand_edges> <opcode>and</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>1</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>0.97</m_delay> </item> <item class_id_reference="9" object_id="_92"> <Value> <Obj> <type>0</type> <id>135</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>25</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>25</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>371</item> <item>372</item> <item>373</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_93"> <Value> <Obj> <type>0</type> <id>138</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>22</lineNumber> <contextFuncName>inVisited</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>inVisited</second> </first> <second>22</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>89</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>374</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_94"> <Value> <Obj> <type>0</type> <id>140</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>524</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_95"> <Value> <Obj> <type>0</type> <id>142</id> <name>lhs_V_1_cast3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>375</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_96"> <Value> <Obj> <type>0</type> <id>143</id> <name>p_shl_i1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>376</item> <item>377</item> <item>378</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_97"> <Value> <Obj> <type>0</type> <id>144</id> <name>p_shl_i1_cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>379</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_98"> <Value> <Obj> <type>0</type> <id>145</id> <name>r_V_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>380</item> <item>381</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.81</m_delay> </item> <item class_id_reference="9" object_id="_99"> <Value> <Obj> <type>0</type> <id>146</id> <name>r_V_2_cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>44</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>382</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_100"> <Value> <Obj> <type>0</type> <id>147</id> <name>tmp_i1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>383</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_101"> <Value> <Obj> <type>0</type> <id>148</id> <name>visited_addr_3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>384</item> <item>385</item> <item>386</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_102"> <Value> <Obj> <type>0</type> <id>149</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>387</item> <item>388</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_103"> <Value> <Obj> <type>0</type> <id>150</id> <name>lhs_V</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName>lhs.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>45</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>389</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_104"> <Value> <Obj> <type>0</type> <id>151</id> <name>r_V_3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>45</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>390</item> <item>392</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.98</m_delay> </item> <item class_id_reference="9" object_id="_105"> <Value> <Obj> <type>0</type> <id>152</id> <name>tmp_3_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>393</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_106"> <Value> <Obj> <type>0</type> <id>153</id> <name>visited_addr_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>394</item> <item>395</item> <item>396</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_107"> <Value> <Obj> <type>0</type> <id>154</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>397</item> <item>398</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_108"> <Value> <Obj> <type>0</type> <id>155</id> <name>r_V_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>45</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>399</item> <item>401</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.98</m_delay> </item> <item class_id_reference="9" object_id="_109"> <Value> <Obj> <type>0</type> <id>156</id> <name>tmp_5_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>402</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_110"> <Value> <Obj> <type>0</type> <id>157</id> <name>visited_addr_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>403</item> <item>404</item> <item>405</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_111"> <Value> <Obj> <type>0</type> <id>158</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>406</item> <item>407</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_112"> <Value> <Obj> <type>0</type> <id>159</id> <name>tmp_6_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>408</item> <item>409</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.54</m_delay> </item> <item class_id_reference="9" object_id="_113"> <Value> <Obj> <type>0</type> <id>160</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>visit</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>93</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>visit</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>410</item> <item>411</item> <item>885</item> <item>888</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_114"> <Value> <Obj> <type>0</type> <id>161</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>47</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>412</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_115"> <Value> <Obj> <type>0</type> <id>163</id> <name>indvar_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>413</item> <item>414</item> <item>415</item> <item>416</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_116"> <Value> <Obj> <type>0</type> <id>164</id> <name>x_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>417</item> <item>418</item> <item>419</item> <item>420</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_117"> <Value> <Obj> <type>0</type> <id>165</id> <name>agg_result_V_1_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>421</item> <item>422</item> <item>423</item> <item>424</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_118"> <Value> <Obj> <type>0</type> <id>166</id> <name>agg_result_V_load_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>425</item> <item>426</item> <item>427</item> <item>428</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_119"> <Value> <Obj> <type>0</type> <id>167</id> <name>y_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>y</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>429</item> <item>430</item> <item>431</item> <item>432</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_120"> <Value> <Obj> <type>0</type> <id>169</id> <name>exitcond_flatten</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>433</item> <item>434</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.77</m_delay> </item> <item class_id_reference="9" object_id="_121"> <Value> <Obj> <type>0</type> <id>170</id> <name>indvar_flatten_next</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>435</item> <item>436</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.52</m_delay> </item> <item class_id_reference="9" object_id="_122"> <Value> <Obj> <type>0</type> <id>171</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>74</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>74</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>437</item> <item>438</item> <item>439</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_123"> <Value> <Obj> <type>0</type> <id>176</id> <name>exitcond_i5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>440</item> <item>441</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_124"> <Value> <Obj> <type>0</type> <id>177</id> <name>y_i_mid2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>442</item> <item>443</item> <item>444</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_125"> <Value> <Obj> <type>0</type> <id>179</id> <name>x_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>47</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>445</item> <item>446</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_126"> <Value> <Obj> <type>0</type> <id>180</id> <name>x_i_mid2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>447</item> <item>448</item> <item>449</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_127"> <Value> <Obj> <type>0</type> <id>184</id> <name>tmp_i1_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>450</item> <item>451</item> </oprand_edges> <opcode>mul</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>5.74</m_delay> </item> <item class_id_reference="9" object_id="_128"> <Value> <Obj> <type>0</type> <id>185</id> <name>tmp_i2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>452</item> <item>453</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_129"> <Value> <Obj> <type>0</type> <id>186</id> <name>tmp_15</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>454</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_130"> <Value> <Obj> <type>0</type> <id>187</id> <name>tmp_16</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>455</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_131"> <Value> <Obj> <type>0</type> <id>188</id> <name>p_shl_i2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>457</item> <item>458</item> <item>459</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_132"> <Value> <Obj> <type>0</type> <id>189</id> <name>current_V_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>53</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>53</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>current.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>460</item> <item>461</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_133"> <Value> <Obj> <type>0</type> <id>190</id> <name>tmp_20_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>462</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_134"> <Value> <Obj> <type>0</type> <id>191</id> <name>sectionData_addr_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>463</item> <item>464</item> <item>465</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_135"> <Value> <Obj> <type>0</type> <id>192</id> <name>sectionData_load_3</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel1B</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>466</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_136"> <Value> <Obj> <type>0</type> <id>193</id> <name>lhs_V_3_cast</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>467</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_137"> <Value> <Obj> <type>0</type> <id>194</id> <name>r_V_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>469</item> <item>470</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_138"> <Value> <Obj> <type>0</type> <id>195</id> <name>tmp_21_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>471</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_139"> <Value> <Obj> <type>0</type> <id>196</id> <name>sectionData_addr_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>472</item> <item>473</item> <item>474</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_140"> <Value> <Obj> <type>0</type> <id>197</id> <name>sectionData_load_4</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel1G</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>475</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_141"> <Value> <Obj> <type>0</type> <id>198</id> <name>r_V_6</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>r.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>477</item> <item>478</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.67</m_delay> </item> <item class_id_reference="9" object_id="_142"> <Value> <Obj> <type>0</type> <id>199</id> <name>tmp_22_i</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>479</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_143"> <Value> <Obj> <type>0</type> <id>200</id> <name>sectionData_addr_6</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>480</item> <item>481</item> <item>482</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_144"> <Value> <Obj> <type>0</type> <id>201</id> <name>sectionData_load_5</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>pixel1R</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>483</item> </oprand_edges> <opcode>load</opcode> <m_Display>1</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>1</m_isLCDNode> <m_delay>3.25</m_delay> </item> <item class_id_reference="9" object_id="_145"> <Value> <Obj> <type>0</type> <id>202</id> <name>tmp_i_i1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>484</item> <item>485</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_146"> <Value> <Obj> <type>0</type> <id>203</id> <name>tmp_i_i1_6</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>486</item> <item>487</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_147"> <Value> <Obj> <type>0</type> <id>204</id> <name>tmp_24_i_i1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>488</item> <item>489</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.47</m_delay> </item> <item class_id_reference="9" object_id="_148"> <Value> <Obj> <type>0</type> <id>205</id> <name>tmp2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>490</item> <item>491</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_149"> <Value> <Obj> <type>0</type> <id>206</id> <name>val_assign_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>16</lineNumber> <contextFuncName>equal</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>equal</second> </first> <second>16</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>val</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>492</item> <item>493</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.97</m_delay> </item> <item class_id_reference="9" object_id="_150"> <Value> <Obj> <type>0</type> <id>207</id> <name>result_V</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>57</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>result.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>494</item> <item>495</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.54</m_delay> </item> <item class_id_reference="9" object_id="_151"> <Value> <Obj> <type>0</type> <id>208</id> <name>tmp_23_agg_result_V_s</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>496</item> <item>497</item> <item>498</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_152"> <Value> <Obj> <type>0</type> <id>209</id> <name>tmp_23_agg_result_V_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>499</item> <item>500</item> <item>501</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.69</m_delay> </item> <item class_id_reference="9" object_id="_153"> <Value> <Obj> <type>0</type> <id>211</id> <name>y_2</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName>y</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>502</item> <item>503</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_154"> <Value> <Obj> <type>0</type> <id>212</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>50</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>504</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_155"> <Value> <Obj> <type>0</type> <id>214</id> <name>p_0111_1_load</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>101</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>101</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>505</item> <item>886</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_156"> <Value> <Obj> <type>0</type> <id>215</id> <name>tmp_10</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>101</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>101</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>506</item> <item>507</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.99</m_delay> </item> <item class_id_reference="9" object_id="_157"> <Value> <Obj> <type>0</type> <id>216</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>101</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>101</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>508</item> <item>509</item> <item>510</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_158"> <Value> <Obj> <type>0</type> <id>218</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>103</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>103</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>512</item> <item>513</item> <item>514</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_159"> <Value> <Obj> <type>0</type> <id>219</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>104</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>104</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>515</item> <item>516</item> <item>517</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_160"> <Value> <Obj> <type>0</type> <id>220</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>105</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>105</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>518</item> <item>519</item> <item>520</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.00</m_delay> </item> <item class_id_reference="9" object_id="_161"> <Value> <Obj> <type>0</type> <id>221</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>54</lineNumber> <contextFuncName>getFrequency</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>getFrequency</second> </first> <second>54</second> </item> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>97</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>521</item> <item>522</item> <item>887</item> <item>889</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.76</m_delay> </item> <item class_id_reference="9" object_id="_162"> <Value> <Obj> <type>0</type> <id>222</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>106</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>106</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>523</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_163"> <Value> <Obj> <type>0</type> <id>225</id> <name>y_1</name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName>y</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>525</item> <item>526</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.55</m_delay> </item> <item class_id_reference="9" object_id="_164"> <Value> <Obj> <type>0</type> <id>226</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>527</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_165"> <Value> <Obj> <type>0</type> <id>228</id> <name></name> <fileName>modeComputer/src/toplevel.cpp</fileName> <fileDirectory>/home/userfs/q/qj544/w2k/QLight</fileDirectory> <lineNumber>111</lineNumber> <contextFuncName>toplevel</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/userfs/q/qj544/w2k/QLight</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>modeComputer/src/toplevel.cpp</first> <second>toplevel</second> </first> <second>111</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>571</item> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>18</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_166"> <Value> <Obj> <type>2</type> <id>236</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_167"> <Value> <Obj> <type>2</type> <id>238</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>31</content> </item> <item class_id_reference="16" object_id="_168"> <Value> <Obj> <type>2</type> <id>261</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_169"> <Value> <Obj> <type>2</type> <id>269</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_170"> <Value> <Obj> <type>2</type> <id>279</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_171"> <Value> <Obj> <type>2</type> <id>285</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_172"> <Value> <Obj> <type>2</type> <id>287</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_173"> <Value> <Obj> <type>2</type> <id>302</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_174"> <Value> <Obj> <type>2</type> <id>314</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_175"> <Value> <Obj> <type>2</type> <id>326</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_176"> <Value> <Obj> <type>2</type> <id>335</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_177"> <Value> <Obj> <type>2</type> <id>346</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_178"> <Value> <Obj> <type>2</type> <id>354</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_179"> <Value> <Obj> <type>2</type> <id>391</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>45</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_180"> <Value> <Obj> <type>2</type> <id>400</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>45</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_181"> <Value> <Obj> <type>2</type> <id>468</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_182"> <Value> <Obj> <type>2</type> <id>476</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_183"> <Value> <Obj> <type>2</type> <id>545</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>13</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>17</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_184"> <Obj> <type>3</type> <id>40</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>13</count> <item_version>0</item_version> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> </node_objs> </item> <item class_id_reference="18" object_id="_185"> <Obj> <type>3</type> <id>46</id> <name>burst.rd.header</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>41</item> <item>42</item> <item>44</item> <item>45</item> </node_objs> </item> <item class_id_reference="18" object_id="_186"> <Obj> <type>3</type> <id>56</id> <name>burst.rd.body</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>50</item> <item>51</item> <item>52</item> <item>53</item> <item>55</item> </node_objs> </item> <item class_id_reference="18" object_id="_187"> <Obj> <type>3</type> <id>65</id> <name>burst.rd.end</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> </node_objs> </item> <item class_id_reference="18" object_id="_188"> <Obj> <type>3</type> <id>73</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>66</item> <item>67</item> <item>68</item> <item>70</item> <item>71</item> <item>72</item> </node_objs> </item> <item class_id_reference="18" object_id="_189"> <Obj> <type>3</type> <id>105</id> <name>.reset8</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>24</count> <item_version>0</item_version> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> <item>83</item> <item>87</item> <item>88</item> <item>89</item> <item>90</item> <item>91</item> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> <item>102</item> <item>103</item> <item>104</item> </node_objs> </item> <item class_id_reference="18" object_id="_190"> <Obj> <type>3</type> <id>110</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>106</item> <item>107</item> <item>108</item> <item>109</item> </node_objs> </item> <item class_id_reference="18" object_id="_191"> <Obj> <type>3</type> <id>136</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>21</count> <item_version>0</item_version> <item>111</item> <item>116</item> <item>117</item> <item>118</item> <item>119</item> <item>120</item> <item>121</item> <item>122</item> <item>123</item> <item>124</item> <item>125</item> <item>126</item> <item>127</item> <item>128</item> <item>129</item> <item>130</item> <item>131</item> <item>132</item> <item>133</item> <item>134</item> <item>135</item> </node_objs> </item> <item class_id_reference="18" object_id="_192"> <Obj> <type>3</type> <id>139</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>138</item> </node_objs> </item> <item class_id_reference="18" object_id="_193"> <Obj> <type>3</type> <id>141</id> <name>._crit_edge.loopexit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>140</item> </node_objs> </item> <item class_id_reference="18" object_id="_194"> <Obj> <type>3</type> <id>162</id> <name>inVisited.exit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>20</count> <item_version>0</item_version> <item>142</item> <item>143</item> <item>144</item> <item>145</item> <item>146</item> <item>147</item> <item>148</item> <item>149</item> <item>150</item> <item>151</item> <item>152</item> <item>153</item> <item>154</item> <item>155</item> <item>156</item> <item>157</item> <item>158</item> <item>159</item> <item>160</item> <item>161</item> </node_objs> </item> <item class_id_reference="18" object_id="_195"> <Obj> <type>3</type> <id>172</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>163</item> <item>164</item> <item>165</item> <item>166</item> <item>167</item> <item>169</item> <item>170</item> <item>171</item> </node_objs> </item> <item class_id_reference="18" object_id="_196"> <Obj> <type>3</type> <id>213</id> <name>.reset</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>32</count> <item_version>0</item_version> <item>176</item> <item>177</item> <item>179</item> <item>180</item> <item>184</item> <item>185</item> <item>186</item> <item>187</item> <item>188</item> <item>189</item> <item>190</item> <item>191</item> <item>192</item> <item>193</item> <item>194</item> <item>195</item> <item>196</item> <item>197</item> <item>198</item> <item>199</item> <item>200</item> <item>201</item> <item>202</item> <item>203</item> <item>204</item> <item>205</item> <item>206</item> <item>207</item> <item>208</item> <item>209</item> <item>211</item> <item>212</item> </node_objs> </item> <item class_id_reference="18" object_id="_197"> <Obj> <type>3</type> <id>217</id> <name>getFrequency.exit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>214</item> <item>215</item> <item>216</item> </node_objs> </item> <item class_id_reference="18" object_id="_198"> <Obj> <type>3</type> <id>223</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>218</item> <item>219</item> <item>220</item> <item>221</item> <item>222</item> </node_objs> </item> <item class_id_reference="18" object_id="_199"> <Obj> <type>3</type> <id>227</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>225</item> <item>226</item> </node_objs> </item> <item class_id_reference="18" object_id="_200"> <Obj> <type>3</type> <id>229</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>228</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>334</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_201"> <id>232</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>235</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>237</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>239</id> <edge_type>1</edge_type> <source_obj>238</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>240</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>241</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>242</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>245</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>247</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>248</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>249</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>250</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>251</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>252</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>253</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>254</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>255</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>258</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>259</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>260</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>262</id> <edge_type>1</edge_type> <source_obj>261</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>263</id> <edge_type>2</edge_type> <source_obj>40</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>264</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>41</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>265</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>41</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>266</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>267</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>268</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>270</id> <edge_type>1</edge_type> <source_obj>269</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>271</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>272</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>273</id> <edge_type>2</edge_type> <source_obj>65</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>274</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>277</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>278</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>280</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>281</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>282</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>283</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>284</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>286</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>288</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>289</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>290</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>291</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>292</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>293</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>294</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>295</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>296</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>297</id> <edge_type>2</edge_type> <source_obj>73</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>298</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>299</id> <edge_type>2</edge_type> <source_obj>65</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>300</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>66</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>301</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>66</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>303</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>304</id> <edge_type>2</edge_type> <source_obj>65</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>305</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>67</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>306</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>67</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>307</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>308</id> <edge_type>2</edge_type> <source_obj>65</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>309</id> <edge_type>1</edge_type> <source_obj>225</source_obj> <sink_obj>68</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>310</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>68</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>311</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>312</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>313</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>315</id> <edge_type>1</edge_type> <source_obj>314</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>316</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>317</id> <edge_type>2</edge_type> <source_obj>105</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>318</id> <edge_type>2</edge_type> <source_obj>229</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>319</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>320</id> <edge_type>2</edge_type> <source_obj>105</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>321</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>106</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>322</id> <edge_type>2</edge_type> <source_obj>139</source_obj> <sink_obj>106</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>323</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>324</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>325</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>327</id> <edge_type>1</edge_type> <source_obj>326</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>328</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>329</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>330</id> <edge_type>2</edge_type> <source_obj>136</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>331</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>334</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>336</id> <edge_type>1</edge_type> <source_obj>335</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>337</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>338</id> <edge_type>1</edge_type> <source_obj>117</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>339</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>340</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>341</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>342</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>343</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>344</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>121</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>345</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>347</id> <edge_type>1</edge_type> <source_obj>346</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>348</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>349</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>350</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>351</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_298"> <id>352</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_299"> <id>353</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_300"> <id>355</id> <edge_type>1</edge_type> <source_obj>354</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_301"> <id>356</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_302"> <id>357</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_303"> <id>358</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_304"> <id>359</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_305"> <id>360</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_306"> <id>361</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_307"> <id>362</id> <edge_type>1</edge_type> <source_obj>121</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_308"> <id>363</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_309"> <id>364</id> <edge_type>1</edge_type> <source_obj>125</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_310"> <id>365</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_311"> <id>366</id> <edge_type>1</edge_type> <source_obj>129</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_312"> <id>367</id> <edge_type>1</edge_type> <source_obj>131</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_313"> <id>368</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_314"> <id>369</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>134</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_315"> <id>370</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>134</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_316"> <id>371</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_317"> <id>372</id> <edge_type>2</edge_type> <source_obj>139</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_318"> <id>373</id> <edge_type>2</edge_type> <source_obj>141</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_319"> <id>374</id> <edge_type>2</edge_type> <source_obj>110</source_obj> <sink_obj>138</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_320"> <id>375</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>142</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_321"> <id>377</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_322"> <id>378</id> <edge_type>1</edge_type> <source_obj>335</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_323"> <id>379</id> <edge_type>1</edge_type> <source_obj>143</source_obj> <sink_obj>144</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_324"> <id>380</id> <edge_type>1</edge_type> <source_obj>144</source_obj> <sink_obj>145</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_325"> <id>381</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>145</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_326"> <id>382</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_327"> <id>383</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>147</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_328"> <id>384</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_329"> <id>385</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_330"> <id>386</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_331"> <id>387</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_332"> <id>388</id> <edge_type>1</edge_type> <source_obj>148</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_333"> <id>389</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_334"> <id>390</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_335"> <id>392</id> <edge_type>1</edge_type> <source_obj>391</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_336"> <id>393</id> <edge_type>1</edge_type> <source_obj>151</source_obj> <sink_obj>152</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_337"> <id>394</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_338"> <id>395</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_339"> <id>396</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_340"> <id>397</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_341"> <id>398</id> <edge_type>1</edge_type> <source_obj>153</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_342"> <id>399</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>155</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_343"> <id>401</id> <edge_type>1</edge_type> <source_obj>400</source_obj> <sink_obj>155</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_344"> <id>402</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>156</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_345"> <id>403</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_346"> <id>404</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_347"> <id>405</id> <edge_type>1</edge_type> <source_obj>156</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_348"> <id>406</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>158</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_349"> <id>407</id> <edge_type>1</edge_type> <source_obj>157</source_obj> <sink_obj>158</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_350"> <id>408</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_351"> <id>409</id> <edge_type>1</edge_type> <source_obj>326</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_352"> <id>410</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_353"> <id>411</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_354"> <id>412</id> <edge_type>2</edge_type> <source_obj>172</source_obj> <sink_obj>161</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_355"> <id>413</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>163</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_356"> <id>414</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>163</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_357"> <id>415</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>163</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_358"> <id>416</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>163</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_359"> <id>417</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>164</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_360"> <id>418</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>164</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_361"> <id>419</id> <edge_type>1</edge_type> <source_obj>180</source_obj> <sink_obj>164</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_362"> <id>420</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>164</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_363"> <id>421</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>165</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_364"> <id>422</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>165</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_365"> <id>423</id> <edge_type>1</edge_type> <source_obj>208</source_obj> <sink_obj>165</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_366"> <id>424</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>165</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_367"> <id>425</id> <edge_type>1</edge_type> <source_obj>287</source_obj> <sink_obj>166</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_368"> <id>426</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>166</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_369"> <id>427</id> <edge_type>1</edge_type> <source_obj>209</source_obj> <sink_obj>166</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_370"> <id>428</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>166</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_371"> <id>429</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>167</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_372"> <id>430</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>167</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_373"> <id>431</id> <edge_type>1</edge_type> <source_obj>211</source_obj> <sink_obj>167</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_374"> <id>432</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>167</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_375"> <id>433</id> <edge_type>1</edge_type> <source_obj>163</source_obj> <sink_obj>169</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_376"> <id>434</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>169</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_377"> <id>435</id> <edge_type>1</edge_type> <source_obj>163</source_obj> <sink_obj>170</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_378"> <id>436</id> <edge_type>1</edge_type> <source_obj>314</source_obj> <sink_obj>170</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_379"> <id>437</id> <edge_type>1</edge_type> <source_obj>169</source_obj> <sink_obj>171</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_380"> <id>438</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>171</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_381"> <id>439</id> <edge_type>2</edge_type> <source_obj>217</source_obj> <sink_obj>171</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_382"> <id>440</id> <edge_type>1</edge_type> <source_obj>167</source_obj> <sink_obj>176</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_383"> <id>441</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>176</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_384"> <id>442</id> <edge_type>1</edge_type> <source_obj>176</source_obj> <sink_obj>177</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_385"> <id>443</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>177</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_386"> <id>444</id> <edge_type>1</edge_type> <source_obj>167</source_obj> <sink_obj>177</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_387"> <id>445</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>179</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_388"> <id>446</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>179</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_389"> <id>447</id> <edge_type>1</edge_type> <source_obj>176</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_390"> <id>448</id> <edge_type>1</edge_type> <source_obj>179</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_391"> <id>449</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_392"> <id>450</id> <edge_type>1</edge_type> <source_obj>177</source_obj> <sink_obj>184</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_393"> <id>451</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>184</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_394"> <id>452</id> <edge_type>1</edge_type> <source_obj>184</source_obj> <sink_obj>185</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_395"> <id>453</id> <edge_type>1</edge_type> <source_obj>180</source_obj> <sink_obj>185</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_396"> <id>454</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_397"> <id>455</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>187</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_398"> <id>458</id> <edge_type>1</edge_type> <source_obj>187</source_obj> <sink_obj>188</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_399"> <id>459</id> <edge_type>1</edge_type> <source_obj>335</source_obj> <sink_obj>188</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_400"> <id>460</id> <edge_type>1</edge_type> <source_obj>188</source_obj> <sink_obj>189</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_401"> <id>461</id> <edge_type>1</edge_type> <source_obj>186</source_obj> <sink_obj>189</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_402"> <id>462</id> <edge_type>1</edge_type> <source_obj>189</source_obj> <sink_obj>190</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_403"> <id>463</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>191</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_404"> <id>464</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>191</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_405"> <id>465</id> <edge_type>1</edge_type> <source_obj>190</source_obj> <sink_obj>191</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_406"> <id>466</id> <edge_type>1</edge_type> <source_obj>191</source_obj> <sink_obj>192</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_407"> <id>467</id> <edge_type>1</edge_type> <source_obj>189</source_obj> <sink_obj>193</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_408"> <id>469</id> <edge_type>1</edge_type> <source_obj>468</source_obj> <sink_obj>194</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_409"> <id>470</id> <edge_type>1</edge_type> <source_obj>193</source_obj> <sink_obj>194</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_410"> <id>471</id> <edge_type>1</edge_type> <source_obj>194</source_obj> <sink_obj>195</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_411"> <id>472</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>196</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_412"> <id>473</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>196</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_413"> <id>474</id> <edge_type>1</edge_type> <source_obj>195</source_obj> <sink_obj>196</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_414"> <id>475</id> <edge_type>1</edge_type> <source_obj>196</source_obj> <sink_obj>197</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_415"> <id>477</id> <edge_type>1</edge_type> <source_obj>476</source_obj> <sink_obj>198</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_416"> <id>478</id> <edge_type>1</edge_type> <source_obj>193</source_obj> <sink_obj>198</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_417"> <id>479</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>199</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_418"> <id>480</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>200</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_419"> <id>481</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>200</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_420"> <id>482</id> <edge_type>1</edge_type> <source_obj>199</source_obj> <sink_obj>200</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_421"> <id>483</id> <edge_type>1</edge_type> <source_obj>200</source_obj> <sink_obj>201</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_422"> <id>484</id> <edge_type>1</edge_type> <source_obj>192</source_obj> <sink_obj>202</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_423"> <id>485</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>202</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_424"> <id>486</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>203</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_425"> <id>487</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>203</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_426"> <id>488</id> <edge_type>1</edge_type> <source_obj>201</source_obj> <sink_obj>204</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_427"> <id>489</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>204</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_428"> <id>490</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>205</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_429"> <id>491</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>205</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_430"> <id>492</id> <edge_type>1</edge_type> <source_obj>205</source_obj> <sink_obj>206</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_431"> <id>493</id> <edge_type>1</edge_type> <source_obj>202</source_obj> <sink_obj>206</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_432"> <id>494</id> <edge_type>1</edge_type> <source_obj>326</source_obj> <sink_obj>207</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_433"> <id>495</id> <edge_type>1</edge_type> <source_obj>166</source_obj> <sink_obj>207</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_434"> <id>496</id> <edge_type>1</edge_type> <source_obj>206</source_obj> <sink_obj>208</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_435"> <id>497</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>208</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_436"> <id>498</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>208</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_437"> <id>499</id> <edge_type>1</edge_type> <source_obj>206</source_obj> <sink_obj>209</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_438"> <id>500</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>209</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_439"> <id>501</id> <edge_type>1</edge_type> <source_obj>166</source_obj> <sink_obj>209</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_440"> <id>502</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>211</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_441"> <id>503</id> <edge_type>1</edge_type> <source_obj>177</source_obj> <sink_obj>211</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_442"> <id>504</id> <edge_type>2</edge_type> <source_obj>172</source_obj> <sink_obj>212</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_443"> <id>505</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>214</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_444"> <id>506</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>215</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_445"> <id>507</id> <edge_type>1</edge_type> <source_obj>214</source_obj> <sink_obj>215</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_446"> <id>508</id> <edge_type>1</edge_type> <source_obj>215</source_obj> <sink_obj>216</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_447"> <id>509</id> <edge_type>2</edge_type> <source_obj>223</source_obj> <sink_obj>216</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_448"> <id>510</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>216</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_449"> <id>513</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>218</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_450"> <id>514</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>218</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_451"> <id>516</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>219</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_452"> <id>517</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>219</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_453"> <id>519</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>220</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_454"> <id>520</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>220</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_455"> <id>521</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>221</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_456"> <id>522</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>221</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_457"> <id>523</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>222</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_458"> <id>524</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>140</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_459"> <id>525</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>225</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_460"> <id>526</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>225</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_461"> <id>527</id> <edge_type>2</edge_type> <source_obj>73</source_obj> <sink_obj>226</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_462"> <id>528</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_463"> <id>529</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_464"> <id>530</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_465"> <id>531</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_466"> <id>532</id> <edge_type>1</edge_type> <source_obj>302</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_467"> <id>533</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_468"> <id>534</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_469"> <id>535</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_470"> <id>536</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_471"> <id>537</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_472"> <id>538</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_473"> <id>539</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_474"> <id>540</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_475"> <id>541</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_476"> <id>542</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_477"> <id>543</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_478"> <id>544</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_479"> <id>546</id> <edge_type>1</edge_type> <source_obj>545</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_480"> <id>547</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_481"> <id>548</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_482"> <id>549</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_483"> <id>550</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_484"> <id>551</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_485"> <id>552</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_486"> <id>553</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_487"> <id>554</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_488"> <id>555</id> <edge_type>1</edge_type> <source_obj>468</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_489"> <id>556</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_490"> <id>557</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_491"> <id>558</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_492"> <id>559</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_493"> <id>560</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_494"> <id>561</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_495"> <id>562</id> <edge_type>1</edge_type> <source_obj>476</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_496"> <id>563</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_497"> <id>564</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_498"> <id>565</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_499"> <id>566</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_500"> <id>567</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_501"> <id>568</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_502"> <id>569</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_503"> <id>570</id> <edge_type>2</edge_type> <source_obj>110</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_504"> <id>571</id> <edge_type>1</edge_type> <source_obj>285</source_obj> <sink_obj>228</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_505"> <id>861</id> <edge_type>2</edge_type> <source_obj>40</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_506"> <id>862</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_507"> <id>863</id> <edge_type>2</edge_type> <source_obj>46</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_508"> <id>864</id> <edge_type>2</edge_type> <source_obj>56</source_obj> <sink_obj>46</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_509"> <id>865</id> <edge_type>2</edge_type> <source_obj>65</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_510"> <id>866</id> <edge_type>2</edge_type> <source_obj>73</source_obj> <sink_obj>229</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_511"> <id>867</id> <edge_type>2</edge_type> <source_obj>73</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_512"> <id>868</id> <edge_type>2</edge_type> <source_obj>105</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_513"> <id>869</id> <edge_type>2</edge_type> <source_obj>110</source_obj> <sink_obj>136</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_514"> <id>870</id> <edge_type>2</edge_type> <source_obj>110</source_obj> <sink_obj>162</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_515"> <id>871</id> <edge_type>2</edge_type> <source_obj>136</source_obj> <sink_obj>141</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_516"> <id>872</id> <edge_type>2</edge_type> <source_obj>136</source_obj> <sink_obj>139</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_517"> <id>873</id> <edge_type>2</edge_type> <source_obj>139</source_obj> <sink_obj>110</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_518"> <id>874</id> <edge_type>2</edge_type> <source_obj>141</source_obj> <sink_obj>227</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_519"> <id>875</id> <edge_type>2</edge_type> <source_obj>162</source_obj> <sink_obj>172</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_520"> <id>876</id> <edge_type>2</edge_type> <source_obj>172</source_obj> <sink_obj>217</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_521"> <id>877</id> <edge_type>2</edge_type> <source_obj>172</source_obj> <sink_obj>213</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_522"> <id>878</id> <edge_type>2</edge_type> <source_obj>213</source_obj> <sink_obj>172</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_523"> <id>879</id> <edge_type>2</edge_type> <source_obj>217</source_obj> <sink_obj>227</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_524"> <id>880</id> <edge_type>2</edge_type> <source_obj>217</source_obj> <sink_obj>223</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_525"> <id>881</id> <edge_type>2</edge_type> <source_obj>223</source_obj> <sink_obj>227</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_526"> <id>882</id> <edge_type>2</edge_type> <source_obj>227</source_obj> <sink_obj>73</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_527"> <id>883</id> <edge_type>4</edge_type> <source_obj>38</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_528"> <id>884</id> <edge_type>4</edge_type> <source_obj>58</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_529"> <id>885</id> <edge_type>4</edge_type> <source_obj>58</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_530"> <id>886</id> <edge_type>4</edge_type> <source_obj>63</source_obj> <sink_obj>214</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_531"> <id>887</id> <edge_type>4</edge_type> <source_obj>63</source_obj> <sink_obj>221</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_532"> <id>888</id> <edge_type>4</edge_type> <source_obj>103</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_533"> <id>889</id> <edge_type>4</edge_type> <source_obj>214</source_obj> <sink_obj>221</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_534"> <id>2147483647</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>106</sink_obj> <is_back_edge>1</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_535"> <mId>1</mId> <mTag>toplevel</mTag> <mType>0</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> <item>5</item> <item>13</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>17</mMinLatency> <mMaxLatency>1073741841</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_536"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>40</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>11</mMinLatency> <mMaxLatency>11</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_537"> <mId>3</mId> <mTag>memcpy.sectionData.ram</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>46</item> <item>56</item> </basic_blocks> <mII>1</mII> <mDepth>3</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>1073741823</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>1073741824</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_538"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>65</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2</mMinLatency> <mMaxLatency>2</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_539"> <mId>5</mId> <mTag>mainXLoop_mainYLoop</mTag> <mType>1</mType> <sub_regions> <count>7</count> <item_version>0</item_version> <item>6</item> <item>7</item> <item>8</item> <item>9</item> <item>10</item> <item>11</item> <item>12</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>0</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_540"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>73</item> <item>105</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>4</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_541"> <mId>7</mId> <mTag>visitedLoop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>3</count> <item_version>0</item_version> <item>110</item> <item>136</item> <item>139</item> </basic_blocks> <mII>3</mII> <mDepth>4</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>0</mMaxTripCount> <mMinLatency>3</mMinLatency> <mMaxLatency>3</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_542"> <mId>8</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>141</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_543"> <mId>9</mId> <mTag>Region 4</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>162</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>1</mMinLatency> <mMaxLatency>1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_544"> <mId>10</mId> <mTag>freqXLoop_freqYLoop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>172</item> <item>213</item> </basic_blocks> <mII>2</mII> <mDepth>9</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>0</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_545"> <mId>11</mId> <mTag>Region 5</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>217</item> <item>223</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_546"> <mId>12</mId> <mTag>Region 6</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>227</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_547"> <mId>13</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>229</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>1</mMinLatency> <mMaxLatency>1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>158</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>11</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>32</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>33</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>36</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>5</first> <second>6</second> </second> </item> <item> <first>39</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>15</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>15</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>15</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>15</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>15</first> <second>2</second> </second> </item> <item> <first>63</first> <second> <first>15</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>71</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>19</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>19</first> <second>0</second> </second> </item> <item> <first>89</first> <second> <first>20</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>20</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>20</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>20</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>20</first> <second>1</second> </second> </item> <item> <first>94</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>97</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>21</first> <second>1</second> </second> </item> <item> <first>99</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>101</first> <second> <first>21</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>21</first> <second>1</second> </second> </item> <item> <first>103</first> <second> <first>22</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>22</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>111</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>116</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>117</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>118</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>119</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>120</first> <second> <first>23</first> <second>0</second> </second> </item> <item> <first>121</first> <second> <first>23</first> <second>1</second> </second> </item> <item> <first>122</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>123</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>124</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>125</first> <second> <first>24</first> <second>1</second> </second> </item> <item> <first>126</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>127</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>128</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>129</first> <second> <first>24</first> <second>1</second> </second> </item> <item> <first>130</first> <second> <first>24</first> <second>0</second> </second> </item> <item> <first>131</first> <second> <first>25</first> <second>0</second> </second> </item> <item> <first>132</first> <second> <first>25</first> <second>0</second> </second> </item> <item> <first>133</first> <second> <first>25</first> <second>0</second> </second> </item> <item> <first>134</first> <second> <first>25</first> <second>0</second> </second> </item> <item> <first>135</first> <second> <first>26</first> <second>0</second> </second> </item> <item> <first>138</first> <second> <first>26</first> <second>0</second> </second> </item> <item> <first>140</first> <second> <first>27</first> <second>0</second> </second> </item> <item> <first>142</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>143</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>144</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>145</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>146</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>147</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>148</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>149</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>150</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>151</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>152</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>153</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>154</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>155</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>156</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>157</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>158</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>159</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>160</first> <second> <first>28</first> <second>0</second> </second> </item> <item> <first>161</first> <second> <first>29</first> <second>0</second> </second> </item> <item> <first>163</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>164</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>165</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>166</first> <second> <first>37</first> <second>0</second> </second> </item> <item> <first>167</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>169</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>170</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>171</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>176</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>177</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>179</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>180</first> <second> <first>30</first> <second>0</second> </second> </item> <item> <first>184</first> <second> <first>31</first> <second>2</second> </second> </item> <item> <first>185</first> <second> <first>34</first> <second>0</second> </second> </item> <item> <first>186</first> <second> <first>34</first> <second>0</second> </second> </item> <item> <first>187</first> <second> <first>34</first> <second>0</second> </second> </item> <item> <first>188</first> <second> <first>34</first> <second>0</second> </second> </item> <item> <first>189</first> <second> <first>34</first> <second>0</second> </second> </item> <item> <first>190</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>191</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>192</first> <second> <first>35</first> <second>1</second> </second> </item> <item> <first>193</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>194</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>195</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>196</first> <second> <first>35</first> <second>0</second> </second> </item> <item> <first>197</first> <second> <first>35</first> <second>1</second> </second> </item> <item> <first>198</first> <second> <first>36</first> <second>0</second> </second> </item> <item> <first>199</first> <second> <first>36</first> <second>0</second> </second> </item> <item> <first>200</first> <second> <first>36</first> <second>0</second> </second> </item> <item> <first>201</first> <second> <first>36</first> <second>1</second> </second> </item> <item> <first>202</first> <second> <first>36</first> <second>0</second> </second> </item> <item> <first>203</first> <second> <first>36</first> <second>0</second> </second> </item> <item> <first>204</first> <second> <first>37</first> <second>0</second> </second> </item> <item> <first>205</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>206</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>207</first> <second> <first>37</first> <second>0</second> </second> </item> <item> <first>208</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>209</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>211</first> <second> <first>31</first> <second>0</second> </second> </item> <item> <first>212</first> <second> <first>38</first> <second>0</second> </second> </item> <item> <first>214</first> <second> <first>39</first> <second>0</second> </second> </item> <item> <first>215</first> <second> <first>39</first> <second>0</second> </second> </item> <item> <first>216</first> <second> <first>39</first> <second>0</second> </second> </item> <item> <first>218</first> <second> <first>39</first> <second>1</second> </second> </item> <item> <first>219</first> <second> <first>39</first> <second>1</second> </second> </item> <item> <first>220</first> <second> <first>39</first> <second>1</second> </second> </item> <item> <first>221</first> <second> <first>39</first> <second>0</second> </second> </item> <item> <first>222</first> <second> <first>40</first> <second>0</second> </second> </item> <item> <first>225</first> <second> <first>40</first> <second>0</second> </second> </item> <item> <first>226</first> <second> <first>40</first> <second>0</second> </second> </item> <item> <first>228</first> <second> <first>18</first> <second>1</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>17</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>40</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>11</second> </second> </item> <item> <first>46</first> <second> <first>12</first> <second>12</second> </second> </item> <item> <first>56</first> <second> <first>13</first> <second>14</second> </second> </item> <item> <first>65</first> <second> <first>13</first> <second>15</second> </second> </item> <item> <first>73</first> <second> <first>16</first> <second>16</second> </second> </item> <item> <first>105</first> <second> <first>16</first> <second>20</second> </second> </item> <item> <first>110</first> <second> <first>21</first> <second>21</second> </second> </item> <item> <first>136</first> <second> <first>21</first> <second>24</second> </second> </item> <item> <first>139</first> <second> <first>24</first> <second>24</second> </second> </item> <item> <first>141</first> <second> <first>25</first> <second>25</second> </second> </item> <item> <first>162</first> <second> <first>22</first> <second>23</second> </second> </item> <item> <first>172</first> <second> <first>24</first> <second>24</second> </second> </item> <item> <first>213</first> <second> <first>24</first> <second>32</second> </second> </item> <item> <first>217</first> <second> <first>25</first> <second>25</second> </second> </item> <item> <first>223</first> <second> <first>25</first> <second>26</second> </second> </item> <item> <first>227</first> <second> <first>26</first> <second>26</second> </second> </item> <item> <first>229</first> <second> <first>16</first> <second>17</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="33" tracking_level="1" version="0" object_id="_548"> <region_name>memcpy.sectionData.ram</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>46</item> <item>56</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>3</pipe_depth> </item> <item class_id_reference="33" object_id="_549"> <region_name>visitedLoop</region_name> <basic_blocks> <count>3</count> <item_version>0</item_version> <item>110</item> <item>136</item> <item>139</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>3</interval> <pipe_depth>4</pipe_depth> </item> <item class_id_reference="33" object_id="_550"> <region_name>freqXLoop_freqYLoop</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>172</item> <item>213</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>2</interval> <pipe_depth>9</pipe_depth> </item> </regions> <dp_fu_nodes class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
Ada
344,433
adb
1
26.858468
71
0.588373
----------------------------------------------------------------------- -- upload_servlet -- Servlet example to upload files on the server -- Copyright (C) 2012, 2018 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Servlet.Core; with Servlet.Requests; with Servlet.Responses; with servlet.Streams; package Upload_Servlet is use Servlet; type File_Type is (IMAGE, PDF, TAR_GZ, TAR, ZIP, UNKNOWN); -- Guess a file type depending on a content type or a file name. function Get_File_Type (Content_Type : in String; Name : in String) return File_Type; -- Execute a command and write the result to the output stream. procedure Execute (Command : in String; Output : in out Streams.Print_Stream); -- The <b>Servlet</b> represents the component that will handle -- an HTTP request received by the server. type Servlet is new Core.Servlet with null record; -- Called by the servlet container when a GET request is received. -- Display the upload form page. procedure Do_Get (Server : in Servlet; Request : in out Requests.Request'Class; Response : in out Responses.Response'Class); -- Called by the servlet container when a POST request is received. -- Receives the uploaded files and identify them using some external command. procedure Do_Post (Server : in Servlet; Request : in out Requests.Request'Class; Response : in out Responses.Response'Class); private -- Write the upload form page with an optional response message. procedure Write (Response : in out Responses.Response'Class; Message : in String); end Upload_Servlet;
Ada
2,437
ads
null
39.95082
81
0.642183
with Ada.Characters.Handling; with Ada.Directories; with Ada.Strings.Fixed; with Ada.Tags; with Ahven.Framework; with Unknown.Api; package Test_Unknown.Write is package Skill renames Unknown.Api; use Unknown; use Unknown.Api; type Test is new Ahven.Framework.Test_Case with null record; procedure Initialize (T : in out Test); procedure Set_Up (T : in out Test); procedure Tear_Down (T : in out Test); procedure Check_Types (T : in out Ahven.Framework.Test_Case'Class); procedure Check_Fields_A (T : in out Ahven.Framework.Test_Case'Class); procedure Check_Fields_C (T : in out Ahven.Framework.Test_Case'Class); end Test_Unknown.Write;
Ada
674
ads
1
24.962963
73
0.746291