patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
052079791
claims
1. A nuclear fuel assembly having upper and lower regions and an array of fuel rods extending through both said regions, said fuel rods comprising a plurality of first fuel rods each containing nuclear fuel material but not containing burnable poison and a plurality of further fuel rods each containing both nuclear fuel material and burnable poison, said further fuel rods comprising at least one second fuel rod and at least one third fuel rods, wherein said at least one second fuel rod has at a lower region of the fuel assembly a burnable poison concentration which is a lowest burnable poison concentration other than zero of said burnable poison concentration contained in said further fuel rods at said lower region of the fuel assembly, and said at least one third fuel rod has at said lower region of the fuel assembly a burnable poison concentration higher than said lowest burnable poison concentration of said at least one second fuel rod, and wherein as seen in plan view said first fuel rods are disposed nearer to said second and third fuel rods than said second and third fuel rods are disposed with respect to one another in said array, and the burnable poison concentration of said second and third fuel rods of said further fuel rods at the lower region of the fuel assembly is determined at the same horizontal section extending through said further fuel rods in said lower region of the fuel assembly average burnable poison concentration of said further fuel rods is equal or higher in said lower region than in said upper region. 2. A nuclear fuel assembly according to claim 1 having a plurality of said second fuel rods. 3. A nuclear fuel assembly according to claim 1 wherein said lowest burnable poison concentration is less than half of an average burnable poison concentration of said further fuel rods in said lower region. 4. A nuclear fuel assembly according to claim 1 wherein having a plurality of said third fuel rods and at least one of said third fuel rods has at said lower region of the fuel assembly a burnable poison concentration which is a highest burnable poison concentration in said further fuel rods. 5. A nuclear fuel assembly according to claim 1 further having water rods and wherein said at least one second fuel rod is adjacent said water rods. 6. A nuclear fuel assembly according to claim 1 wherein said array of fuel rods as seen in plan view is a rectangular array having rows and columns at right angles, and each said further fuel rod has said first fuel rods as adjacent fuel rods in the row direction and the column direction. 7. A nuclear fuel assembly according to claim 6 wherein a lower tie plate supports lower ends of said fuel rods, said rows and columns of said array being parallel to respective side edges of said lower tie plate. 8. A nuclear fuel assembly according to claim 1 wherein said lowest burnable poison concentration in said lower region of the assembly is lower than the burnable poison concentration in any of said further fuel rods at said upper region of the assembly. 9. A nuclear fuel assembly according to claim 1 wherein said burnable poison is Gd and said minimum burnable poison concentration is in the range 1 to 2% by weight (calculated as Gd.sub.2 O.sub.3) of the fuel content of the rod at the lower region. 10. A reactor core having at least one fuel assembly according to claim 1. 11. A nuclear fuel assembly having a plurality of first fuel rods each containing nuclear fuel material but not containing burnable poison and a plurality of further fuel rods each containing both nuclear fuel material and burnable poison, said further fuel rods comprising (a) at least one second fuel rod having at a lower region of the fuel assembly a burnable poison concentration which is a lower burnable poison concentration other than zero in said further fuel rods, (b) a plurality of third fuel rods having at said lower region of the fuel assembly a burnable poison concentration higher than said lowest burnable poison concentration, the fuel rods being arranged so that said first fuel rods are adjacent fuel rods of each of said further fuel rods, and wherein the burnable poison concentration of said second and third fuel rods of said further fuel rods at said lower region of said fuel assembly is determined at the same horizontal section extending through said further fuel rods in said lower region of the fuel assembly the average burnable poison concentration of said further fuel rods is equal or higher in said lower region than in said upper region.
abstract
A containment vessel for containing a reactor pressure vessel, a reactor core, and a steam generator of a pressurized water reactor includes a main body equipped with a polar crane, a diaphragm set above the polar crane that partitions the main body, before and after a loss-of-coolant accident (LOCA), into an upper vessel including a dome part having an open space and a lower vessel isolated from the upper vessel, a pressure suppression chamber including a suppression pool that stores water and a gas phase in communication with the open space, a LOCA vent pipe connecting the suppression pool to the lower vessel, and a vacuum breaker that equalizes pressure by allowing gas flow from the upper to the lower vessel when a pressure difference between the upper and lower vessels exceeds a preset value. The lower vessel contains all equipment and piping constituting a reactor pressure boundary.
051851202
description
MODE(S) FOR CARRYING OUT THE INVENTION Illustrated in FIG. 1 is an exemplary boiling water reactor (BWR) 10 having a vertically disposed cylindrical reactor pressure vessel 12. Conventionally disposed in the vessel 12 in serial flow communication are a reactor core 14, an upper plenum 16, a steam separator 18, and a steam dryer 20. Surrounding the core 14 is a conventional core shroud 22 which extends upwardly along the outer boundary of the core 14 and is radially spaced inwardly from the vessel 12 to define a conventional annular downcomer 24. Disposed between the core 14 and the lower head of the vessel 12 is a conventional lower plenum 26. The reactor 10 is partially filled with water 28 which enters the bottom of the core 14 from the lower plenum 26 as inlet water 28a which is boiled by the core 14 during operation for generating a water/steam mixture 28b which rises through the upper plenum 16 to the steam separator 18. In the steam separator 18, a majority of the moisture in the water/steam mixture 28b is conventionally removed, with the remaining steam 28c then rising to the dryer 20 wherein remaining moisture may be removed before being discharged from the vessel 12 through a conventional outlet nozzle 30. The core 14 includes a plurality of vertically extending and laterally spaced apart conventional fuel bundles 32 arranged in a conventional 2-D matrix or array as illustrated in FIG. 2. In this exemplary embodiment, a plurality of conventional, vertically translatable control blades 34 are provided between adjacent fuel bundles 32. A respective plurality of conventional control rod drives (CRDs) 36 are fixedly joined externally to the lower head of the vessel 12 and include actuation rods 38 joined to respective control blades 34 for selectively inserting into and withdrawing from the core 14 the control blades 34 for controlling reactivity therein. In this exemplary embodiment, the fuel bundles 32 are square in cross section, and the blades 34 each has a cruciform cross section and is positioned between four adjacent fuel bundles 32. The control blades 34 include a conventional solid nuclear poison for absorbing neutrons when inserted into the core 14, effective for obtaining shutdown for example. In conventional operation of the reactor 10, the control blades 34 are withdrawn from the core 14 and fission reactions of the fuel within the fuel bundles 32 generate heat for boiling the water 28 to generate the steam 28c. The core 14 has a fuel cycle over which the fuel in the fuel bundles 32 progressively burns, i.e., fissions, until the fuel is depleted, thusly requiring refueling of the core 14. The fission reactions of the fuel in the core 14 generate neutron spectra, or a spectral distribution which varies within the core 14. As described above, various conventional techniques exist for shifting the neutron spectra from hard to soft during the core fuel cycle in order to convert fertile fuel in the core 14 to fissile fuel during a beginning or early interval of the fuel cycle and then burn such produced fissile fuel during the late or end interval of the fuel cycle, which thusly prolongs the fuel cycle for more efficiently utilizing the fuel therein and reducing costs of operating the reactor 10. The cycle beginning interval starts upon initial start-up of the core with new or replenished fuel and ends at any desired intermediate point in the cycle. The cycle end interval then begins and ends upon substantially complete burnup of all remaining fissile fuel, thusly requiring refueling of the core leading to the next fuel cycle. In accordance with the present invention, a system 40 is provided for additionally affecting or obtaining neutron spectral shift in the core 14. In an exemplary first embodiment, the neutron spectral shifting system 40 includes the reactor core 14 having a plurality of preferably vertically extending and laterally spaced apart stationary, hollow control blades 42 disposed between the fuel bundles 32 as illustrated in more particularity in FIG. 2. In the exemplary embodiment illustrated, the hollow control blades 42 are used in addition to the conventional solid control blades 34. However, in alternate embodiments, the hollow control blades 42 may also replace all of the solid control blades 34. Utilizing the hollow control blades 42, the method of the present invention includes providing a liquid nuclear poison 44, as shown for example in FIG. 3, in all of the hollow control blades 42 which is affective for absorbing neutrons for reducing nuclear reactivity in the core 14. The control blades 42 may alone, or in conjunction with the control blades 34, have sufficient liquid poison 44 to reduce reactivity below criticality in the core 14 for obtaining shutdown. The liquid nuclear poison 44 may comprise any conventional liquid poison such as, for example, sodium pentaborate in a water solution, or liquid mercury alone. In order to operate the core 14 for generating power the method includes displacing the liquid poison 44 in at least a first one of the blades 42 designated 42a, and preferably in all of the blades 42, with a first displacing or moderating fluid 46 as illustrated for example in FIG. 4. The first fluid 46 has a first moderating ratio for obtaining a hard neutron spectra adjacent to the blades 42 during the beginning interval of the fuel cycle for converting fertile fuel in the fuel bundles 32 to fissile fuel. The first fluid 46 may, for example, be a gas such as nitrogen (N.sub.2) which upon displacing the liquid poison 44 from the control blades 42 is effective for obtaining the hard neutron spectra during the beginning interval of the fuel cycle in order to convert fertile fuel such as U.sup.238 to fissile fuel Pu.sup.239. The method further includes increasing the moderating ratio effected by the control blades 42 to shift the neutron spectra from hard for obtaining a soft neutron spectra adjacent to the control blades 42 during the end interval of the fuel cycle for burning the fissile fuel so produced, in addition to burning the originally provided fissile fuel in the core 14. In the exemplary embodiment illustrated in FIG. 1, the moderating ratio is increased by displacing or replacing the first fluid 46 in the control blades 42 with a second displacing or moderating fluid 48 as shown in FIG. 1. The second fluid preferably has a second moderating ratio which is greater than the first moderating ratio for obtaining the soft neutron spectra. For example, the second fluid 48 illustrated in the exemplary embodiment shown in FIGS. 1-4 is a liquid such as conventional light or heavy water. Moderation, and moderating ratio are conventionally known terms used to define the ability to slow neutrons to thermal energies for increasing probability of fissioning to sustain reactor operation. For example, nitrogen has a heavy atomic mass (atomic mass weight=14) and a high absorption cross section (1.75 barns at 0.025 eV) relative to helium, for example, (atomic mass weight=4 and a microscopic absorption cross section of about zero barns). Displacing the poison 44 with nitrogen results in a hard spectra due to the low moderating ratio thereof, which is even lower than is the case when the water 28 displaces the control blades 34 as they are withdrawn. When the water second fluid 48 displaces the nitrogen first fluid 46, the increased moderating ratio thereof results in the desired soft neutron spectra relative to the hard spectra effected by the nitrogen. It is noted that the moderating ratio of water and helium are about the same, and helium may also be used in another embodiment described below. In order to shutdown the core 14, the liquid nuclear poison 44 is allowed to completely fill the hollow control blades 42 for absorbing neutrons in the core 14, as shown in FIG. 3. And, in order to operate the core 14 with the hard neutron spectra during the beginning interval of the core fuel cycle, the first fluid 46 completely replaces the liquid poison 44 in the hollow control blades 42 as illustrated in FIG. 4. And further, in order to operate the core 14 with the soft neutron spectra during the end interval of the core fuel cycle, the first fluid 46 is then completely replaced by the second fluid 48 in the hollow control blades 42 as illustrated in FIG. 1. In the exemplary first embodiment illustrated in FIGS. 1-4, means in the exemplary form of a poison reservoir 50 and a first conduit 52 are shown for providing the poison 44 in one or more of the control blades 42 for reducing nuclear reactivity in the core 14. The first conduit 52 joins the reservoir 50 in flow communication with at least one of the control blades 42, and the reservoir 50 is preferably elevated at least in part higher than the control blades 42 so that gravity acting on the poison 44 contained in the reservoir 50 is effective for moving the poison 44 through the first conduit 52 for completely filling the control blades 42 joined thereto as shown in FIG. 3 for automatically shutting down the core 14 as described in more detail below. This may be accomplished by positioning the reservoir 50 so that the elevation E of the top of the poison 44 therein remains higher than the top of the control blades 42 at all times. In this way, the poison 44 in the blades 42 will be displaced into the first conduit 52 and in turn into the reservoir 50 against the force of gravity acting on the poison 44 therein so that the poison 44 is automatically returned into the control blades 42 by gravity upon cessation of the displacing method step. More specifically, means for displacing the liquid poison 44 from the control blades 42 include a conventional pressure means or source 54 as shown in FIGS. 1, 3, and 4, and a second conduit 56 joining the pressure source 54 in flow communication with the control blades 42. The pressure source 54 may be a conventional pump, or a conventional pressure accumulator effective for selectively pressurizing the first fluid 46 to selectively displace the poison 44 from the control blades 42 through the first conduit 52 and into the reservoir 50 against the force of gravity. In the exemplary embodiment illustrated, the second conduit 56 contains both the first fluid 46 and the second fluid 48, with the first fluid 46 being disposed between the poison 44 and the second fluid 48. The pressure source 54 preferably provides a displacing fluid 58 such as nitrogen gas (N.sub.2) into the second conduit 56 for pressurizing the second fluid 48 and in turn the first fluid 46 to displace the liquid poison 44. More specifically, FIG. 3 illustrates the system 40 in the shutdown mode with the displacing fluid 58 being at a relatively low pressure for allowing gravity to completely fill the control blades 42 with the poison 44 from the reservoir 50. The pressure source 54 may then be used to selectively increase the pressure of the displacing fluid 58 to in turn selectively pressurize the second fluid 48 for displacing in turn the first fluid 46 and the poison 44 so that only the first fluid 46 as illustrated in FIG. 4 is contained in the control blades 42 during the fuel cycle beginning interval for affecting the hard neutron spectra in the core 14, with the poison 44 from the control blades 42 being displaced upwardly into the reservoir 50. The pressure source 54 may further increase the pressure of the displacing fluid 58 for again further displacing in turn the second fluid 48, the first fluid 46 and the poison 44 so that only the second fluid 48 is in the control blades 42 as illustrated in FIG. 1 during the fuel cycle end interval for affecting the soft neutron spectra. In this exemplary embodiment, the poison 44 is a liquid, the first fluid 46 is a gas (e.g. nitrogen), and the second fluid 48 is a liquid (e.g. light or heavy water) so that three discrete substances having differing moderating affects on the neutrons in the core 14 are separately contained in the control blades 42 without appreciable mixing therebetween. To further prevent appreciable mixing of the poison 44, first fluid 46, and the second fluid 48, the control blades 42 are preferably in the form of an inverted U-shaped trap, the first conduit includes a first inverted U-shaped trap 52a, and the second conduit 56 includes an inverted U-shaped second trap 56a. As illustrated in FIG. 4 for example, the first, second, and blade traps 52a, 56a, and 42a have respective first, second, and third vertical heights H.sub.1, H.sub.2, and H.sub.3. These heights are selected so that the liquid second fluid 48 is disposed fully in the control blade 42a (trap) and between the first and second traps 52a 56a for obtaining the soft neutron spectra during the fuel cycle end interval as shown in FIG. 1. In the mode of operation illustrated in FIG. 1, the gaseous first fluid 46 and the gaseous displacing fluid 58 are trapped in the respective first and second traps 52a and 56a, with the liquid second fluid 48 being trapped in the control blades 42 between the first and second traps 52a and 56a. The pressurized first fluid 46 and displacing fluid 58 ensure that the second fluid 48 remains in the control blades 42 and does not flow outwardly therefrom and past the respective first and second traps 52a and 56a. Furthermore, the several traps also ensure that only the gaseous first fluid 46 is contained in the control blades 42, e.g. 42a, during the fuel cycle beginning interval for obtaining the hard neutron spectra as illustrated in FIG. 4. In this mode of operation, the gaseous first fluid 46, having a density less than that of both the poison 44 and the second fluid 48, rises relative thereto for completely filling the control blade 42a. The liquid poison 44 and liquid second fluid 48 remain in the respective first and second conduits 52 and 56 below the control blade 42a by the force of gravity and by the resulting pressure of the pressurized gaseous first fluid 46 in the control blade 42a. In the first embodiment, the second conduit 56 preferably also includes a second reservoir 56b, which may simply be an enlarged diameter portion of the second conduit 56, disposed in flow communication between the second trap 56a and the pressure source 54 for receiving at least part of the second fluid 48 from the second conduit 56 upon depressurization of the second fluid 48 as shown in FIG. 3. Depressurization of the second fluid 48 may be selectively effected by decreasing the output pressure of the pressure source 54 to shutdown the core 14, or may occur upon failure of the pressure source 54. In either case, gravity will force the poison 44 from the reservoir 50 back into the control blades 42 to ensure a prompt shutdown of the core 14. As shown in FIG. 3, a plurality of the first control blades 42a are preferably disposed in parallel flow with the first and second conduits 52 and 56 so that an individual reservoir 50 may simultaneously feed several of the control blades 42 in parallel fashion. Furthermore, a plurality of the individual neutron spectral shift systems 40 are preferred for providing redundancy of operation, as well as for providing smoother transition from the hard to the soft neutron spectra. More specifically, two identical parallel systems 40 are illustrated in FIG. 3 with one system having a plurality of the first control blades 42a, and the second system having a plurality of identical, second control blades 42b. Since in this exemplary embodiment, the control blades 42, e.g. 42a, 42b, contain either the poison 44, or the first fluid 46, or the second fluid 48, the parallel systems 40 allow a more gradual shift of the neutron spectra by independently and consecutively displacing the first fluid 46 from the control blades 42 by the second fluid 48. For example, the first fluid 46 may be displaced from the first control blades 42a while it remains in the second control blades 42b. The first fluid 46 may later be displaced from the second control blades 42b by the second fluid 48 as desired. Although two parallel systems 40 having the first and second control blades 42 a, 42b respectively are illustrated, more parallel systems may be provided as desired. Referring also to FIG. 2 in addition to FIG. 3, the first and second control blades 42a and 42b of the parallel systems 40 may be disposed together, in a cruciform spacing configuration for example, at many laterally spaced apart locations in the radial array of the core 14. In this way, the neutron spectra may be shifted more gradually throughout the radial extent of the core 14 by consecutively displacing over the core fuel cycle the first fluid 46 from the respective control blades 42 of the parallel systems 40. Referring again to FIG. 3, for example, disposed at the top of the second trap 56a in flow communication therewith is a conventional venting valve 60 which may be used as desired to relieve by venting some of the pressure of the gaseous first fluid 46 or the gaseous displacing fluid 58 alternatively contained therein during operation to control the relative positions of the poison 44, and first and second fluids 46 and 48 to prevent overflow of liquid (e.g. poison 44 or fluid 48) between the legs of the second traps 56a. A conventional second valve 62 is preferably disposed in flow communication with the top of the reservoir 50 for channeling thereto a pressurizing fluid 64, such as nitrogen gas, from a conventional pressure accumulator or source 66, as well as for venting the fluid 64 from the reservoir 50 during operation to relieve pressure therein. In an exemplary embodiment, the system 40 is preferably closed with the reservoirs 50 and 56b and the first and second conduits 52 and 56 therebetween being disposed inside the reactor pressure vessel 12, as shown in FIG. 1, which is maintained at a nominal pressure of about 70 kg/cm.sup.2. Either or both of the pressure sources 54 and 66 may be used for pressurizing the system 40 to the nominal pressure of the vessel 12, with the pressure source 54 being also effective for further increasing the pressure of the displacing fluid 58 for selectively displacing the poison 44, and the first and second fluids 46 and 48 as described above. Alternatively, the reservoirs 50 and 56b and the first and second traps 52a and 56a may be disposed outside the vessel 12 if desired. In the three-fluid system 40 disclosed above, i.e. liquid poison 44, gaseous first fluid 46, and liquid second fluid 48, the fluids are substantially immiscible with each other at their respective interfaces, which thusly allows one to displace the other in turn as described above from the beginning of the cycle to the end of the cycle in order to harden and soften the neutron spectra, respectively. Also as described above, nitrogen gas is preferred for the first displacing fluid 46 since it has a low moderating ratio due to its heavy atomic mass weight (14) and high absorption cross section (1.75 barns at 0.025 eV). As shown in FIG. 2, the hollow control blades 42 may be used to replace at least some of the conventional solid control blades 34 and when filled with the nitrogen first fluid 46, they are effective for obtaining a moderating ratio which is lower than that provided by the water 28 which displaces the solid control blades 34 as they are withdrawn from the core 14. Accordingly, a relatively harder neutron spectra may be obtained in the core 14 using the control blades 42 instead of conventional solid control blades 34 at those locations. At the end interval of the core fuel cycle the nitrogen first fluid 46 may be displaced by the water second fluid 48 as described above to soften the neutron spectra to a relatively soft spectra for burning the converted fuel and thereby extending the core fuel cycle. The second fluid 48 may be light or heavy water, with heavy water being preferred since it has superior moderating properties due to its lower absorption cross section relative to light water. Although heavy water is relatively expensive, its use can be justified since little or no leakage or loss thereof will occur for the closed system 40. Illustrated in FIGS. 5-7 is a second embodiment of the system 40 designated 40a. In this embodiment, each of the control blades 42 includes two vertically extending chambers, i.e. a first chamber 68 and a second chamber 70 which are sealed from each other for preventing flow therebetween. The first chamber 68 is disposed in flow communication with the first and second conduits 52 and 56, respectively, for receiving the first fluid 46 from the second conduit 56 to displace the poison 44 from the first chamber 68 and into the reservoir 50 through the first conduit 52. As shown in FIG. 5, the system 40a is in the shutdown mode with the poison 44 completely filling the first chamber 68. The pressure source 54 is effective for providing the first fluid 46 under pressure through the second conduit 56 and into the first chamber 68 for displacing the poison 44 from the first chamber 68, through the first conduit 52 and into the reservoir 50 so that only the first fluid 46 remains in the first chamber 68 as illustrated in FIG. 6. Referring again to FIG. 5, means in the exemplary form of third and fourth conduits 72 and 74 are disposed in flow communication with opposite ends of the second chamber 70, with the third conduit 72 being disposed in flow communication with a third reservoir 76, and the fourth conduit 74 being disposed in flow communication with a second pressure source 78. In this second embodiment, the second fluid 48 is initially disposed in the second chamber 70 by being pressurized by the second pressure source 78, which in turn displaces into the third reservoir 76 a third fluid 80 which is preferably liquid such as water. The second fluid 48 in this embodiment is preferably nitrogen gas, which upon cessation of pressure thereto by the second pressure source allows gravity to return the third fluid 80 into the second chamber 70. Accordingly, the system 40a is operated as shown in FIG. 5 for filling the first chamber 68 with the poison 44 and the second chamber 70 with the nitrogen second fluid 48 during the shutdown mode. For obtaining the hard neutron spectra from the control blades 42, the poison 44 is displaced by the first fluid 46 which is preferably helium gas (He.sub.2) which completely fills the first chamber 68 as illustrated in FIG. 6. And, the nitrogen second fluid 48 remains in the second chamber 70 displacing the water second fluid 48. Accordingly, the control blades 42 having both the first fluid 46 and the second fluid 48 in the respective first and second chambers 68 and 70 are effective for obtaining the hard neutron spectra due to the collective moderating ratios of the fluids 46, 48. With the control blade 42 being used instead of a conventional solid control blade 34 as illustrated in FIG. 2, the first and second fluids 46 and 48 also displace the water 28 which would be present upon withdrawal of one of the solid control blades 34 which would otherwise be at that location. This results in a lower moderating ratio than would occur conventionally with the water 28 at that location thusly providing a harder neutron spectra. In order to obtain the soft neutron spectra, the helium first fluid 46 is allowed to remain in the first chamber 68 as illustrated in FIG. 7, and the pressure of the second fluid 48 is reduced by the second pressure source 78 (by venting for example) for allowing gravity to return the water third fluid 80 into the second chamber 70 to completely fill the second chamber 70, and therefore displace the second fluid 48 outwardly therefrom and through the fourth conduit 74. The moderating ratios of the helium first fluid 46 and the water third fluid 80 are substantially equal to each other and greater than the moderating ratio of the nitrogen second fluid 48. As described above, the hollow control blades 42 may be in the form of the tubular inverted U-shaped traps shown in FIG. 3 for example, or the side-by-side first and second chambers 68 and 70 illustrated in FIG. 5 for example. Of course the hollow control blades 42 may take any convenient form such as for an additional example that shown in FIG. 8 including a radially spaced pair of concentric tubes, i.e. outer tube 82 and inner tube 84, defining two separate flow passages therein. When such a control blade is used in the first embodiment illustrated in FIG. 3, the respective first conduit 52 and second conduit 56 are disposed in flow communication with the tops and bottoms of the respective outer and inner tubes 82 and 84. When the control blade 42 is utilized in the second embodiment illustrated in FIG. 5, the bottom of the inner tube 84 is instead joined to the third conduit 72, with the top of the inner tube 84 being joined to the fourth conduit 74. It should be apparent to artisans in reactor control design that the system shown in FIG. 5 is one of several alternatives and illustrates basic principles of operation. While suitable for some reactor designs, other reactor types may require modifications or additions in the detailed design to account, for example, for specific failure modes and effects in order to ensure acceptable consequences. It may be desirable, for example, to suitably reverse the order of the second fluid 48 and the third fluid 80 between the second pressure source 78 and the third reservoir 76 to avoid potential insertion of moderator into the reactor core 14 given the failure of the displacing pressure of the second pressure source 78. Such a contingency measure can be accomplished through the proper design of conduit and holding chamber geometries, for example, by providing U-tube traps and optimizing conduit line heights and diameters as described for the exemplary embodiment of the invention (i.e., FIGS. 3 and 4). Illustrated in FIG. 9 is an exemplary one of the fuel bundles 32 having a square transverse cross section and including a conventional eight-by-eight matrix of conventional fuel rods 86 with the four center ones of the fuel rods 86 being removed and replaced by the hollow control blades 42. The control blades 42 may be disposed between adjacent ones of the fuel bundles 32 as illustrated in FIG. 2, or within the selected ones of the fuel bundles 32 as illustrated in FIG. 9. Illustrated in FIG. 10 is a third embodiment of the system 40 designated 40b. In this third embodiment, the pressure source 54 is again effective for pressurizing the first fluid 46, e.g. nitrogen gas, to displace the poison 44 from the hollow control blade 42 through the first conduit 52 and into the reservoir 50 for obtaining the hard neutron spectra in the core 14. However, in this third embodiment, instead of using a liquid second fluid 48, which is immiscible with the gaseous first fluid 46, the second fluid 48 may be gaseous, and preferably is helium gas (He.sub.2). Since both the first and second fluids 46 and 48 are gases they are mixable with each other and therefore the first embodiment of the invention illustrated in FIGS. 1-4 may not be used. Instead, means for replacing the gaseous first fluid 46 from the control blade 42 with the gaseous second fluid are provided. More specifically, a conventional admission valve 88 is disposed in flow communication in the first conduit 52 between the reservoir 50 and the control blade 42, and a conventional purge valve 90 is disposed in flow communication in the second conduit 56 at the end thereof adjacent to the pressure source 54. The admission valve 88 is positionable initially to allow unobstructed flow of the poison 44 between the reservoir 50 and the control blade 42 for either filling the control blade 42 with the poison 44 for obtaining shutdown, or displacing the poison 44 from the control blade 42 by the first fluid 46 for obtaining the hard neutron spectra. The admission valve 88 is also positionable to block return flow of the poison 44 into the control blade 42 while the first fluid 46 is contained therein so that a conventional supplying means 92, such as a high pressure accumulator, can provide the gaseous second fluid 48 through the admission valve 88 and into the control blade 42 to displace or purge the first fluid 46 therefrom through the second conduit 56 and out the purge valve 90. The purge valve 90 is initially positionable to allow pressure source 54 to pressurize the first fluid 46 for displacing the poison 44 from the control blade 42, and is also positionable to vent the second conduit 56 so that the first fluid 46 may be purged from the control blade 42 and replaced by the second fluid 48 for obtaining the soft neutron spectra in the core 14. In all three of the embodiments disclosed above gravity is effective for returning the poison 44 to the control blades 42 to shut down the reactor core 14 automatically upon loss of displacing pressure either intentionally or by system failure. The hollow control blades 42 allow the poison 44 to be displaced with the first fluid 46 which may either be a gas, such as nitrogen or helium, as described above or a liquid for obtaining the hard neutron spectra in the core 14. The first fluid 46 may either be displaced or replaced by the second fluid 48 as described above having a higher moderating ratio for shifting or softening the neutron spectra. The second fluid 48 may also be either a gas or liquid as described above. Also as described above, the poison 44 may be sodium pentaborate in a water solution which is therefore mixable with the water second fluid 48 and must be suitably separated therefrom by the gaseous first fluid 46 for example. In order to further prevent mixing of the poison 44 and the first and second fluids 46 and 48, the first and second conduits 52 and 56 and the control blades 42 may comprise a plurality of relatively small parallel tubes wherein adhesion of the poison 44 to the inner surfaces thereof assists in preventing mixing with the first or second fluids 46 and 48. In alternate embodiments of the invention, the poison 44 may be liquid mercury which is immiscible with water and has relatively poor adhesion to the inner surfaces of the control blades 42 which ensures not only the prevention of mixing of the poison 44 with the first or second fluids 46 and 48 but also decreases any poison residues within the control blades 42 which would rob neutrons during operation of the core 14. While there have been described herein what are considered to be preferred embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims:
description
This application claims the benefit of and is a U.S. National Phase filing of PCT Application PCT/IB15/00346 filed Jan. 14, 2015, entitled “COLLIMATOR FOR CEPHALOMETRIC EXTRA ORAL DENTAL IMAGING DEVICES”, in the name of Congy et al., which claims the benefit of U.S. Provisional application U.S. Ser. No. 62/087,352, provisionally filed on Dec. 4, 2014 entitled “COLLIMATOR FOR CEPHALOMETRIC EXTRA ORAL DENTAL IMAGING DEVICES”, in the names of Congy et al., all of which are incorporated herein by reference in their entirety. The invention relates generally to the field of dental x-ray imaging, and more particularly, to imaging in a cephalometric x-ray mode for dental applications. Further, the invention relates to a combined cephalometric, panoramic and computed tomography dental imaging apparatus and/or methods. In the dental imaging field, a cephalometric imaging device includes an x-ray source that emits a conical or pyramidal shaped x-ray beam towards a cephalometric imaging sensor mounted at the end of a long arm. A patient's positioning unit to position the patient's head is located between the x-ray source and the cephalometric sensor at the vicinity of the cephalometric sensor. Then, the x-ray beam originating from the remote x-ray source radiates the patient's skull before impinging the cephalometric sensor. The digitalization of the signal and the treatment of the data lead to the reconstruction of a projection image of the skull or at least a part of the skull of the patient. In a general dental cephalometric imaging device, a distance between the x-ray source and the cephalometric sensor is typically 1.7 m. As the patient's positioning unit located at the vicinity of the cephalometric sensor and away from the x-ray source, the magnitude ratio of the image size to the patient's skull size is close to 1 for any part of the skull. In particular in profile imaging, a first half of the skull is closer to the sensor than the other half. Thanks to the small ratio of the source-sensor distance to the source-patient distance, the magnitude factor for the projection of both halves of the skull on the cephalometric sensor along the conical x-ray beam is almost the same and distortion of the image is then reduced or avoided. The cephalometric sensor can have the shape of a thin vertical cylinder with a large (e.g., typically 30) height-to-width ratio. A collimator having the same shape as the cephalometric sensor is used to collimate the x-ray beam originating from the remote x-ray source. This collimator is positioned in front of the patient's positioning unit and in coincidence with the cephalometric sensor so that the x-ray beam is shaped before radiating the patient. The cephalometric imaging process includes accumulating frames of data from the cephalometric sensor while the cephalometric sensor is translated from one side of the skull to the other side (e.g., horizontally, vertically) with a combined and coordinated movement of the collimator. The frames of data are then merged and treated to reconstruct a projection image of the whole skull. In the cephalometric or skull imaging technique, the patient can be positioned facing the x-ray beam or in a profile position. The collimator according to the prior art is usually made of a plurality of metallic plates assembled in a complicated way, the ensemble being covered by a plastic cover. This makes the prior art collimator complicated and expensive to manufacture. Further, the link between the metallic parts and the plastic cover has to be precisely engineered to avoid any break between each part. There is still a need for a cephalometric collimator that is easy and/or cheap to manufacture. An aspect of this application is to advance the art of medical digital radiography, particularly for dental applications. Another aspect of this application is to address, in whole or in part, at least the foregoing and other deficiencies in the related art. It is another aspect of this application to provide, in whole or in part, at least the advantages described herein. An advantage offered by apparatus and/or method embodiments of the application relates to improved imaging of teeth, jaw and head features surfaces at a lower cost over conventional imaging methods. An advantage offered by apparatus and/or method embodiments of the application relates to providing a unitary body collimator for a dental cephalometric imaging apparatus. An advantage offered by apparatus and/or method embodiments of the application relates to providing a continuous contact between a first metal member, which includes a slit and a protective layer to reduce or eliminate mechanical stress therebetween in a collimator for a dental cephalometric imaging apparatus. An advantage offered by apparatus and/or method embodiments of the application relates to providing a unitary collimator for a dental cephalometric imaging apparatus that includes a molded protective layer over all exterior sides of a metal plate, where the molded protective layer includes an elongated engagement projection for coupling to a transport mechanism and the metal plate includes an elongated slit therethough. According to one aspect of the disclosure, there is provided a method for manufacturing a collimator for a cephalometric imaging device that can include forming an elongated metallic plate surrounding a fixed aperture; overmolding a unitary body on the elongated metallic plate at least partially filling the fixed aperture; and milling a slot in the unitary body in coincidence with the fixed aperture. According to one aspect of the disclosure, there is provided an extra-oral imaging system, the extra-oral system that can include a support base adjustable in at least one dimension; a cephalometric module coupled to the support base and configured to position a cephalometric imaging sensor about a first imaging area formed with an x-ray source, where x-rays from the x-ray source impinge the cephalometric sensor after radiating the first imaging area; a cephalometric patient positioning unit positioned operatively near the first imaging area; and an integral cephalometric collimator comprising an elongated metallic plate surrounding a fixed slot aperture and encased on at least a portion of all exterior sides by a unitary body in substantially continuous contact with an outer surface of the elongated metallic plate. These objects are given only by way of illustrative example, and such objects may be exemplary of one or more embodiments of the invention. Other desirable objectives and advantages inherently achieved by the may occur or become apparent to those skilled in the art. The invention is defined by the appended claims. The following is a description of exemplary embodiments, reference being made to the drawings in which the same reference numerals identify the same elements of structure in each of the several figures. Where they are used in the context of the present disclosure, the terms “first”, “second”, and so on, do not necessarily denote any ordinal, sequential, or priority relation, but are simply used to more clearly distinguish one step, element, or set of elements from another, unless specified otherwise. As used herein, the term “energizable” relates to a device or set of components that perform an indicated function upon receiving power and, optionally, upon receiving an enabling signal. The term “actuable” has its conventional meaning, relating to a device or component that is capable of effecting an action in response to a stimulus, such as in response to an electrical signal, for example. FIG. 1 is a diagram that shows a perspective view of a related art extra-oral dental imaging system including a cephalometric imaging unit. As shown in FIG. 1, an exemplary extra-oral dental imaging system 100 includes a support structure that can include a support column 1. The column 1 may be adjustable in two-dimensions or three-dimensions. For example, the column 1 can be telescopic and may include an upper part 1b sliding inside a lower part 1a. A horizontal mount 2 may be supported or held by the vertical column 1 and can support a rotatable gantry 3. An x-ray source 4 and a first x-ray imaging sensor 5 are attached or coupled to the gantry 3 in correspondence (e.g., opposite, aligned) to each other. The first x-ray sensor 5 may be a panoramic (e.g., slit-shaped) sensor or a Computerized Tomography (e.g., rectangular, square-shaped) sensor. Preferably, the x-ray beam originating from the x-ray source 4 impinges the sensor 5 after radiating a first imaging area or the patient. A first patient positioning and holding system 6 can be operatively positioned near or in the first imaging area. For example, the first patient positioning and holding system 6 may be between the x-ray source 4 and the first x-ray imaging sensor 5. The first patient positioning and holding system 6 can include a forehead support 7a and a shield 7b including two handles 7c and 7d. The patient can then grasp the handles 7c and 7d and remain motionless during the CT scan or panoramic scan. More remote from the x-ray source 4, a cephalometric imaging unit 8 may be held in correspondence to the x-ray source 4. For example, as shown in FIG. 1, the cephalometric imaging unit 8 can be attached or coupled to the upper part 1b of the vertical column via an extended (e.g., horizontal) cephalometric arm 9. The cephalometric imaging unit 8 can include a mount 10 supporting a collimator 12, a second or cephalometric sensor 13 and a second patient positioning and holding system 14. As can also be seen in FIG. 2, the second patient positioning and holding system 14 can include a forehead support 17 and two temporal holding members 15a and 15b each supporting an ear rod 16a and 16b. Preferably, the x-ray beam originating from the x-ray source 4 impinges the sensor 13 after radiating a second or cephalometric imaging area or the patient. The second patient positioning and holding system 14 can be operatively positioned near or in the second imaging area. For example, thanks to the second patient positioning and holding system 14, the patient is precisely and repeatedly positioned between the collimator 12 and the sensor 13, preferably in the second imaging area. One or more of the holding members 15a and 15b can respectively slide along rails 15ar and 15br so that the distance between the two ear rods 16a and 16b can be changed to fit the patient's head. The forehead support 17 can also be adjustable. For example, the forehead support 17 can be adjustable in at least two orthogonal dimensions by sliding along the horizontal and vertical directions. Alternatively, the forehead support 17 can be adjustable in three-dimensions or around three or more orthogonal axis. As shown in FIG. 2, the collimator 12 can include an elongated opening or slit 20 to shape an x-ray beam. The x-ray sensor 13 can include an active area 21 having an elongated shape (e.g., a vertical slit) facing the vertical slit 20 of the collimator 12 (e.g., across the second imaging area). The sensor 13 and the collimator 12 face each other so that the sensor 13 can receive the x-rays originating from the x-ray source 4 after the x-ray beam was shaped by the collimator 12 and after the x-ray beam radiated the patient positioned and held on the second patient's positioning and holding system 14. For the scanning of the complete skull of the patient, both the collimator 12 can move or slide during the x-ray scan along a rail 22 and the sensor 13 can move or slide along the rail 23. Both rails 22, 23 can be coupled to the mount 10. For example, the rails 22, 23 can be embodied on a lower face of the mount 10 of the cephalometric imaging unit 8. At any time during the cephalometric x-ray scan, an alignment may exist between a primary collimator in front of the source (not shown), the slit 20 of the collimator 12 and the active area of the sensor 13. Such x-ray alignment is disclosed, for example, in U.S. Pat. No. 5,511,106. At selected positions of the ensemble during the cephalometric scan, an x-ray digital image is obtained by the sensor 13. At the end of the cephalometric scan, an image reconstructing device (e.g., hardware, software and/or image processing) reconstructs the whole skull image on the basis of the plurality of images obtained during the cephalometric scan, for example using algorithms known to the person skilled in the art. For a correct patient's positioning allowing a good image quality in the exemplary extra-oral dental imaging system 100, the Frankfort plane containing a straight line passing though the bottom of the eye socket and the ear canal must be horizontal. For the purpose of controlling that the Frankfort plane is horizontal, an at least partially transparent visual indicator 30 can be used. FIG. 3 is a diagram that shows a side view of an exemplary collimator embodiment of a cephalometric imaging unit according to the application. FIG. 4 is a diagram that shows a front view of an exemplary collimator embodiment of a cephalometric imaging unit according to the application. As shown in FIGS. 3-4, an exemplary collimator can be used in the related art extra-oral dental imaging system shown in FIG. 1. As shown in FIG. 3, a collimator 120 can include a lead plate 112 overmolded with a unitary body 114. In one embodiment, the body 114 can be made of a mix of polyester resin and glass fiber. One such material is known under the name Fiber Reinforced Plastic or FRP. This material is preferred has it offers good mechanical properties, a good resistance against corrosion, is light weight and is possible to mold. A third material or additional materials can also be added to the composition of the body 114 so long as the selected characteristics (e.g., good mechanical properties, a good resistance against corrosion, light weight and/or moldable) substantially unchanged, or at least not substantially negatively impacted. In certain exemplary embodiments, the lead plate 112 can have a thickness comprised between 0.5 mm and 4 mm, preferably between 1 mm and 3 mm, and more preferably, the thickness is equal to 2 mm. Lead is well known in the art of collimators for its ability to absorb X-rays. A slot 113 can be formed in the front face of the lead plate 112 to allow the passage of the X-ray beam and to shape the x-ray beam into a thin fan beam. In one embodiment, the slot 113 can be formed in the middle of the front face of the collimator 120. In certain exemplary embodiments, the thickness of the slot 113 can be comprised between 2 mm and 8 mm, preferably between 3 mm and 6 mm, and more preferably, equal to 4.5 mm. The length of the slot 113 can be equal to 200 mm. the length-to-width ration of the slot 113 can be bigger than 30. Typically, the slot 113 is slightly narrower than the thickness of the active area of the cephalometric sensor (e.g., sensor 13) positioned on the other side of a patient's positioning module (e.g., system 14). The lead plate 112 can be covered by the body 114 made of FRP material. In certain exemplary embodiments, first the whole lead plate 112 is preferably covered by the overmolded body 114, namely the four sides (e.g., left, right, top and bottom) and the front and rear sides are covered. Accordingly, the slot 113 of the lead plate can be filled with the FPR material. Then, a slot (e.g., slot 113) the same width as the slot 113 in the lead plate 112 can be milled out with a milling tool from the body 114. The over molded body 114 can include a protrusion 115 with at least one or a plurality of notches 117 so that the collimator 120 may be attached to a wiper or a bearing wheel that slides along a rail of a cephalometric imaging module (not shown), in a direction orthogonal to the path of an x-ray beam. In one embodiment, the over molded body 114 can form the protrusion 115 using at least two steps. For example, a protrusion is first formed on the overmolded body 114 with a substantially level top surface, and secondly, the protrusion 115 is formed by milling notches 117 in the protrusion to make spaced protrusions 117a. In one embodiment, matching parallel rails mounted on a lower surface of the cephalometric imaging module can support the collimator and the cephalometric sensor. The collimator 120 can then slide along the rail in coincidence with the cephalometric sensor 13 during a scanning process. In one embodiment, the patient positioning unit can be mounted to a rail on the lower surface of the cephalometric imaging module. FIG. 5 is a diagram that shows a cross section of the exemplary collimator embodiment of FIG. 3 according to the application along the plane of symmetry parallel to the front face of the collimator. FIG. 6 is a diagram that shows a cross section of the exemplary collimator embodiment of FIG. 3 according to the application along the plane of symmetry crossing the front face of the collimator. As shown in FIG. 6, in certain exemplary embodiments, the width of the protrusion 115 in a direction perpendicular to the front face of the collimator 120 can be comprised between 8 and 25 millimeters, preferably between 11 and 18 mm and more preferably is equal to 14 mm. The lower part 118 of the body 114, namely the part that surrounds the lead plate 112, can have a total thickness comprised between 15 and 21 mm, preferably equal to 18 mm. As the lead plate 112 can have a thickness preferably of 2 mm, the thickness of the layer of FPR material surrounding the lead plate 112 can have a total thickness comprised between 6.5 mm and 9.5 mm, and preferably equal to 8 mm. The intermediate part 116 of the overmolded body 114 that is located between the protrusion 115 and the lead plate 112 can be slightly larger the protrusion 115 and the lower part 118 surrounding the lead plate 112. In one embodiment, the thickness of the intermediate part can be equal to 22 mm. As variously disclosed herein, advantageously the substantially continuous contact between the unitary body 114 and the elongated lead plate 112 is configured to reduce stress forces at a contacting surface therebetween (e.g., orthogonal to the contacting surface). Optionally, the body 114 can be overmolded with a recess 119 formed on at least one of the lateral sides (e.g., of the lower body 118). FIG. 7 is a diagram that shows a perspective view of the collimator 120 according to the application. As shown in FIG. 7, the recess 119 can extend around/sideways to a part of the front and rear sides of the body 114. Two molded boss 110 can also be provided in the recess 110 on the front and rear side of the collimator 120. Such an exemplary combination of the recess 119 and boss 110 are selected or intended to detachably snap an additional resilient piece, not represented, that can be for example a visual indicator (e.g., indicator 30) to help the dentist in correctly and/or easily positioning the head of the patient. Consistent with exemplary embodiments of the application, a computer program utilizes stored instructions that perform on image data that is accessed from an electronic memory. As can be appreciated by those skilled in the image processing arts, a computer program for operating the imaging system in an exemplary embodiment of the present application can be utilized by a suitable, general-purpose computer system, such as a personal computer or workstation. However, many other types of computer systems can be used to execute the computer program of the present application, including an arrangement of networked processors, for example. The computer program for performing exemplary methods/apparatus of the present application may be stored in a computer readable storage medium. This medium may comprise, for example; magnetic storage media such as a magnetic disk such as a hard drive or removable device or magnetic tape; optical storage media such as an optical disc, optical tape, or machine readable optical encoding; solid state electronic storage devices such as random access memory (RAM), or read only memory (ROM); or any other physical device or medium employed to store a computer program. The computer program for performing exemplary methods/apparatus of the present application may also be stored on computer readable storage medium that is connected to the image processor by way of the internet or other network or communication medium. Those skilled in the art will further readily recognize that the equivalent of such a computer program product may also be constructed in hardware. It should be noted that the term “memory”, equivalent to “computer-accessible memory” in the context of the present disclosure, can refer to any type of temporary or more enduring data storage workspace used for storing and operating upon image data and accessible to a computer system, including a database, for example. The memory could be non-volatile, using, for example, a long-term storage medium such as magnetic or optical storage. Alternately, the memory could be of a more volatile nature, using an electronic circuit, such as random-access memory (RAM) that is used as a temporary buffer or workspace by a microprocessor or other control logic processor device. Display data, for example, is typically stored in a temporary storage buffer that is directly associated with a display device and is periodically refreshed as needed in order to provide displayed data. This temporary storage buffer is also considered to be a type of memory, as the term is used in the present disclosure. Memory is also used as the data workspace for executing and storing intermediate and final results of calculations and other processing. Computer-accessible memory can be volatile, non-volatile, or a hybrid combination of volatile and non-volatile types. It will be understood that the computer program product of the present application may make use of various image manipulation algorithms and processes that are well known. It will be further understood that the computer program product embodiment of the present application may embody algorithms and processes not specifically shown or described herein that are useful for implementation. Such algorithms and processes may include conventional utilities that are within the ordinary skill of the image processing arts. Additional aspects of such algorithms and systems, and hardware and/or software for producing and otherwise processing the images or co-operating with the computer program product of the present application, are not specifically shown or described herein and may be selected from such algorithms, systems, hardware, components and elements known in the art. In certain exemplary embodiments, the first patient positioning and holding system 6 can include a substantially transparent shield suspended from the mount 2 or the rotatable gantry 3, and a chin positioning element that can include a chin rest and a bite element. In one embodiment, the shield can include an open window disposed between a chin support and a forehead support. In one embodiment, the shield can be visibly transparent, transparent to additional radiation including x-rays and/or formed from a molded polycarbonate material. In one embodiment, the chin support can include a height adjuster for the bite element and the forehead support is configured to be adjustably pivotable toward the patient. In one embodiment, the shield can include one or more controls for setting a column height adjustment for the mount on the shield or mounted on a separate panel that is coupled to the shield. In one embodiment, the shield can include one or more markings to assist in patient positioning. In one embodiment, the shield can include a first Frankfort plane positioning indicator. In certain exemplary embodiments, an extra-oral imaging system can include a support base adjustable in at least one dimension; a first mount mounted to the support base and configured to revolve an x-ray source and an imaging sensor panel about an imaging area; and a first patient positioning unit coupled to the extra-oral imaging system and positioned between the x-ray source and first sensor so that x-rays impinge the first sensor after radiating the imaging area, including a chin support coupled to the first patient positioning unit and includes a chin positioning element; a head support coupled to the first patient positioning unit shield; and a first Frankfort plan positioning indicator; a second mount mounted to the support base and configured to position a second imaging sensor panel about a second imaging area; and a second patient positioning unit coupled to the second mount and positioned between the x-ray source and the second sensor so that x-rays impinge the second sensor after radiating a second imaging area including a head support coupled to the second patient positioning unit; and a second Frankfort plane positioning indicator. In one embodiment, the second Frankfort plane positioning indicator is fixedly mounted, detachably mounted, or mounted to move between at least two positions, or rotatably mounted. In one embodiment, the second patient positioning unit is configured to repeatably and accurately position a patient between the x-ray source and the second imaging sensor panel. The invention has been described in detail, and may have been described with particular reference to an exemplary or presently preferred embodiment, but it will be understood that variations and modifications can be effected within the spirit and scope of the invention. For example, exemplary collimator embodiments disclosed herein use lead as an x-ray blocking body defining an elongated fixed slot aperture; however, other metal materials can be used for the lead plate as known to one skilled in the art. Further, in one embodiment, an upper portion of the engagement portion of the collimator can include at least one additional fastener such as a threaded recess for engagement to the transport mechanism. In addition, exemplary apparatus and/or method embodiments according to the application have been described relative to a combined cephalometric, panoramic and computed tomography dental imaging apparatus, but are intended to be applicable to stand-alone cephalometric imaging apparatus or cephalometric imaging apparatus with any additional mode(s) of operation or functionality. The presently disclosed exemplary embodiments are therefore considered in all respects to be illustrative and not restrictive. The scope of the invention is indicated by the appended claims, and all changes that come within the meaning and range of equivalents thereof are intended to be embraced therein. While the invention has been illustrated with respect to one or more implementations, alterations and/or modifications can be made to the illustrated examples without departing from the spirit and scope of the appended claims. In addition, while a particular feature of the invention can have been disclosed with respect to one of several implementations, such feature can be combined with one or more other features of the other implementations as can be desired and advantageous for any given or particular function. The term “at least one of” is used to mean one or more of the listed items can be selected. The term “about” indicates that the value listed can be somewhat altered, as long as the alteration does not result in nonconformance of the process or structure to the illustrated embodiment. Finally, “exemplary” indicates the description is used as an example, rather than implying that it is an ideal. Other embodiments of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims, and all changes that come within the meaning and range of equivalents thereof are intended to be embraced therein.
description
This application is a continuation of U.S. application Ser. No. 14/856,084, filed Sep. 16, 2015, which is a non-provisional of U.S. Application No. 62/050,985, filed on Sep. 16, 2014. U.S. application Ser. No. 14/856,084 is also a continuation-in-part of U.S. application Ser. No. 14/081,056, filed on Nov. 15, 2013, now U.S. Pat. No. 10,170,207, which claims priority to U.S. Provisional Application No. 61/821,918, filed on May 10, 2013. U.S. application Ser. No. 14/856,084 is also a continuation-in-part of U.S. application Ser. No. 13/695,792, filed on Jun. 3, 2013, now U.S. Pat. No. 10,037,823, which is the U.S. National Stage of PCT/US2011/036034, filed on May 11, 2011, which in turn claims priority to U.S. Application No. 61/444,990, filed Feb. 21, 2011, U.S. Application No. 61/393,499, filed Oct. 15, 2010, and U.S. Application No. 61/333,467, filed May 11, 2010. The entire content of all of the foregoing applications is expressly incorporated herein by reference. The present invention relates generally to nuclear reactors and nuclear fuel assemblies used in the core of nuclear reactors. More specifically, the present invention relates to Canadian Deuterium-Uranium (CANDU) heavy-water reactors, and fuel assemblies for use in the same. FIGS. 1A and 1B depict simplified cross-sectional views of examples of conventional fuel assemblies 10. FIG. 1A depicts a fuel assembly 10 of the PWR type, and FIG. 1B depicts a fuel assembly 10 of the water-cooled water-moderated power rector (VVER) type. In FIG. 1A, the fuel rod assembly 10 comprises fuel rods assembled into a square grid. The PWR fuel assembly 10 of FIG. 1A has fuel rod bundle self-spacing that can be described as having a square cross-sectional shape. In FIG. 1B, the fuel assembly 10 comprises fuel rods arranged into a triangular grid. The VVER fuel assembly 10 of FIG. 1B has fuel rod bundle self-spacing that can be described as having a regular hexagonal cross-section shape. When these assemblies are fitted into a tube 12, empty segments not used by the fuel rod assembly are formed, as shown by the shaded area 14 located between the tube 12 and the square 14 in FIG. 1A, and between the tube 12 and the hexagon 16 in FIG. 1B. According to embodiments, an assembly in a square grid occupies approximately 63.7% of the area of the circumscribed circle (e.g., tube 12), while an assembly in a triangular grid occupies approximately 82.7% of the area of the circumscribed circle (e.g., tube 12). It is known to use the empty space to address concerns of fuel rod and assembly swelling during burnup. It is also known to fill these areas with a burnable absorber, etc. According to an embodiment, a fuel assembly for use in a core of a nuclear power reactor can include a frame shaped and configured fit within the nuclear reactor internal core structure; and a plurality of helically twisted fuel elements supported by the frame in a fuel rod bundle, with each of the fuel elements comprises fissile material. As viewed in a cross-section that is perpendicular to an axial direction of the fuel assembly, the outermost fuel elements of the fuel rod bundle can define a substantially circular perimeter (e.g., dodecagon). According to embodiments, the frame can be shaped and configured to fit within a pressure tube of a CANDU reactor. According to embodiments, each of the plurality of fuel elements can have substantially the same circumscribed diameter. The plurality of fuel elements can be arranged in concentric circles. Additionally or alternatively, the plurality of fuel elements can be arranged into a mixed grid pattern that includes a first, rectangular grid pattern and a second, triangular grid pattern. According to embodiments, the first, rectangular grid pattern and the second, triangular grid pattern can at least partially alternate with one another. Some of the plurality of fuel elements can be separated from adjacent fuel elements by a common centerline-to-centerline distance, and a circumscribed diameter of some of the plurality of fuel elements can equal the centerline-to-centerline distance. According to embodiments, each of the fuel elements can have a multi-lobed profile that includes ribs, for example, spiral ribs. The ribs of adjacent fuel elements can periodically contact one another over the axial length of the fuel elements to at least partially maintain the spacing of the fuel elements relative to each other. According to embodiments, the fuel elements can comprise extruded fuel elements. According to embodiments, the plurality of fuel elements can consist of 61 fuel elements. According to embodiments, the frame can include a structure circumscribing the fuel rod bundle, such that all of the fuel elements are located inside the structure. The structure can comprise a shroud. When viewed in a cross-section that is perpendicular to an axial direction of the fuel assembly, the shroud can define a cross-section substantially defining a circle or dodecagon. When viewed in a cross-section that is perpendicular to an axial direction of the fuel assembly, the fuel assembly can occupy greater than about 64%, more specifically greater than about 83% of the internal cross-sectional area of a tube circumscribing the fuel assembly. According to an embodiment, the fuel assembly can occupy between about 83% and about 95% of the internal cross-sectional area of the tube circumscribing the fuel assembly. According to embodiments, the fuel assembly is thermodynamically designed and physically shaped for operation in a conventional land-based nuclear power reactor of a conventional nuclear power plant having a reactor design that was in actual use before 2014, and the frame is shaped and configured to fit into the land-based nuclear power reactor in place of a conventional fuel assembly for said reactor. For example, the conventional land-based nuclear power reactor can be a CANDU reactor. According to another aspect of the present invention, a nuclear reactor includes a core and one or more fuel assemblies disposed within the core. The fuel assembly can include: a frame shaped and configured to fit within the core; and a plurality of helically twisted fuel elements supported by the frame in a fuel rod bundle, with each of the fuel elements comprising fissile material. As viewed in a cross-section that is perpendicular to an axial direction of the fuel assembly, the outermost fuel elements of the fuel rod bundle can define a substantially circular perimeter. According to embodiments, the nuclear reactor is a CANDU reactor comprising pressure tubes, and the frame is shaped and configured to fit within the pressure tubes. According to embodiments, each of the plurality of fuel elements can have substantially the same circumscribed diameter. The plurality of fuel elements can be arranged in concentric circles, and/or the plurality of fuel elements can be arranged into a mixed grid pattern that includes a first, rectangular grid pattern and a second, triangular grid pattern. The first, rectangular grid pattern and the second, triangular grid pattern can at least partially alternate with one another. According to embodiments, the nuclear reactor was in actual use before 2014. According to embodiments, each of the fuel elements has a multi-lobed profile that includes spiral ribs. The ribs of adjacent fuel elements can periodically contact one another over the axial length of the fuel elements to at least partially maintain the spacing of the fuel elements relative to each other. According to embodiments, the fuel elements can comprise extruded fuel elements. According to embodiments, the frame of the fuel element comprises a structure circumscribing the fuel rod bundle, such that all of the fuel elements are located inside the structure. The structure can comprise a shroud that when viewed in a cross-section that is perpendicular to an axial direction of the fuel assembly, defines a cross-section substantially defining a circle or dodecagon. These and other aspects of various embodiments of the present invention, as well as the methods of operation and functions of the related elements of structure and the combination of parts and economies of manufacture, will become more apparent upon consideration of the following description and the appended claims with reference to the accompanying drawings, all of which form a part of this specification, wherein like reference numerals designate corresponding parts in the various figures. In one embodiment of the invention, the structural components illustrated herein are drawn to scale. It is to be expressly understood, however, that the drawings are for the purpose of illustration and description only and are not intended as a definition of the limits of the invention. In addition, it should be appreciated that structural features shown or described in any one embodiment herein can be used in other embodiments as well. As used in the specification and in the claims, the singular form of “a,” “an,” and “the” include plural referents unless the context clearly dictates otherwise. Embodiments described herein can increase the fuel burnup power and/or level (operating time until unloading) of a CANDU fuel assembly and/or reactor as a whole, while maintaining or increasing the level of safety. According to embodiments, this can be achieved through the use of fuel assemblies made from twisted, self-spaced, monolithic fuel rods, for example, the extruded uranium-zirconium (U—Zr) fuel rods disclosed in applicant's co-pending U.S. application Ser. Nos. 14/081,056 and 13/695,792, the entire contents of which are expressly incorporated herein by reference. CANDU fuel assemblies typically utilize very short (e.g., on the order of 50 cm) fuel rods. Embodiments of the present invention provide partially or fully self-spaced assemblies of CANDU fuel rods. For example, some fuel assemblies disclosed herein provide for self-spacing of all the fuel rods among themselves (e.g., rib by rib). However, alternative embodiments can include non-self-spaced arrangements. Embodiments can include a frame having a shroud, or other channel or device surrounding all or a part of the fuel rod bundle (referred to generally herein as a “shroud”), and better utilize the space available inside the shroud than is possible with the prior art. For example, as will be described in more detail below, embodiments use a “square-triangular” fuel rod grid in an array. FIG. 2 is a simplified cross-sectional view of an embodiment of a self-spaced fuel assembly 100. The fuel assembly can include 61 fuel rods 102 in a square-triangular grid, however, other configurations may be possible. The fuel assembly shown in FIG. 2 can have the same or similar envelope as an Advanced CANDU Reactor (ACR) CANDU Flexible (CANFLEX) 43-element assembly. Whereas a typical CANFLEX assembly has 43 fuel elements each with an outer diameter of about 13.5 mm, the fuel assembly 100 shown in FIG. 2 can have 61 fuel elements 102 each with an outer diameter of about 11.5 mm, however, other quantities and sizes of fuel elements are contemplated. The fuel assembly of FIG. 2 can be fitted into a shroud 104. For example, the shroud 104 can have a cross-section in the shape of a dodecagon, however, other shapes are envisioned. According to embodiments, the radius R of a circle circumscribing the fuel elements 102 can be less than or equal to 51 mm. According to embodiments, the inner radius of the shroud 104 can be about 51.7 mm, however, other embodiments are possible. Shroud 104 can have a dodecagon shape, and can define a width h across the flats of about 100 mm (≤99.99 mm). According to embodiments, the square-triangular grid of 61 fuel elements defines an outer perimeter that occupies approximately 95.5% of the area of the circumscribed circle (e.g., the shroud 104 or pressure tube). With reference to FIG. 3, the central area of 19 fuel rods 102 can fit nearly perfectly into a tube. According to embodiments, the radius R19 of a circle circumscribing the central 19 fuel rods can have a diameter of 3.922 mm, however, other dimensions are possible. Referring to FIGS. 2 and 3, the fuel elements can be located in first and second grid patterns intermixed with one another to form what is referred to herein as a “square-triangular grid.” The first grid pattern includes squarely arranged rows and columns of fuel elements having a centerline-to-centerline distance between the rows and columns that equals the common circumscribed diameter “d” of the fuel elements (see reference 106 in FIG. 3 for an example of the first “square” grid). The second grid pattern includes equilateral triangles in which a length of each side of each triangle (i.e., the centerline-to-centerline distance between adjacent fuel elements defining the corners of each triangle) is the common circumscribed diameter “d” of the fuel elements (see reference 108 in FIG. 3 for an example of a second “triangular” grid). Thus, the second/triangular grid pattern 108 is different from the first/square grid pattern 106. According to alternative embodiments, additional and/or alternative grid patterns could also be used (e.g., rectangular grid patterns, isometric grid patterns, parallelogram patterns, other regular repeating patterns) without deviating from the scope of the present invention. According to embodiments, a given fuel element 102 may be located in a square grid pattern with one set of surrounding fuel elements, and simultaneously be located in a triangular grid pattern with another set of surrounding fuel elements, however, other configurations are possible. Still referring to FIGS. 2 and 3, the square 106 and triangular 108 grid patterns can alternate with one another when viewed from one or more perspectives. For example, the square 106 and triangular 108 grid patterns can alternate with one another (but not necessarily on a one-to-one basis) with movement along any given radius from the center 110 of the fuel assembly to the outer perimeter, e.g., shroud 104. Additionally or alternatively, the fuel elements 102 can be arranged in concentric circles, and the square and triangular grid patterns can alternate with one another (but not necessarily on a one-to-one basis) with movement around any one of the concentric circles. As mentioned before, the fuel elements may be self-spacing. According to embodiments, the self-spacing can be a factor of the fuel rod circumscribed diameter, independent of the fuel rod shape selected, however, other configurations are possible. According to certain embodiments, the fuel rods 102 may be any shape with twisted ribs (e.g., a tube with ribs, squares, etc.). However, other shapes may be possible, such as circular cross-sections, regular geometric cross-sections, etc. FIGS. 4-6 depict cross-sectional views of an embodiment of a fuel assembly 200 comprising four-lobe fuel rods 202, such as those described in applicant's co-pending U.S. application Ser. Nos. 14/081,056 and 13/695,792, the entire contents of which are incorporated herein by reference. According to a further aspect, certain fuel rod shapes such as the four-lobe design, may be standardized for different reactors. For example, a fuel rod with a four-lobe shape, a circumscribed diameter of 12±1 mm, and slight modifications may become standard for different reactors such as the PWR and CANDU. FIG. 4 depicts the fuel assembly 200 at an initial reference position, referred to herein as the initial 0° position. The initial 0° position can occur at any point along the fuel rods 202, and can occur at regular intervals. FIG. 5 depicts the fuel assembly 200 of FIG. 4 at the point of 30° rotation of the fuel rod's lobes 204 (e.g., lengthwise displacement of 1/12 of the fuel rod swirl pitch) with respect to FIG. 4. FIG. 6 depicts the fuel assembly of FIG. 4 at the point of 60° rotation of the fuel rods' lobes 204 (e.g., lengthwise displacement of ⅙ of the fuel rod swirl pitch) with respect to FIG. 4. A 90° rotation of the lobes 204, or a lengthwise displacement of ¼ of the fuel rod swirl pitch, away from the position of FIG. 4 replicates the tentative initial position of 0° shown in FIG. 4. In FIGS. 4-6, the eight fuel rods 202′ indicate the only rods within the cross-section that do not have contact with other fuel rods 202 or the shroud 206. At axial locations between those shown in FIGS. 4, 5, and 6, there is no lengthwise contact of the fuel rods with one another or with the shroud 206. Accordingly, the fuel assembly is self-spacing and all the fuel rods are self-spaced along the length of the assembly. As mentioned previously, the fuel rods can comprise the four-lobe fuel rods described in applicant's co-pending U.S. application Ser. Nos. 14/081,056 and 13/695,792. However, according to alternative embodiments, any of the four-lobe fuel rods in the afore-described fuel assemblies can replaced by standard pelleted cylindrical fuel rods (uranium or thorium), or burnable poison bearing fuel rods (e.g., containing gadolinium (Gd), erbium (Er), and/or dysprosium (Dy)). As used throughout this application, the term “shroud” encompasses a variety of different designs that can surround the fuel rod bundle, either partially or completely. For example, according to embodiments, a “shroud” can be a solid dodecagonal shroud, perforated or with slits. Alternatively, the “shroud” can comprise individual bands or a shrouding strip, or riveting on cylindrical shell (e.g., solid or “openwork” with slits). Moreover, the term “shroud” can encompass other similar structures and designs apparent to one of ordinary skill in the art based on this description. The foregoing illustrated embodiments are provided to illustrate the structural and functional principals of the present invention and are not intended to be limiting. To the contrary, the principles of the present invention are intended to encompass any and all changes, alterations, and/or substitutions within the spirit and scope of the following claims.
description
Referring first to FIGS. 1 and 2, a computed tomography (CT) imaging system, or scanner 10 is shown that employs a collimator 12 constructed in accordance with the present disclosure. The collimator 12 is adjustable such that it can provide an x-ray beam 14 produced by the scanner 10 with cross-sections of variable widths, as desired. In FIGS. 3 through 6, wherein like reference characters refer to the same parts throughout the different views, the collimator 12 is shown in greater detail. Cross-sections of beams are shaped by passing through a slit 16 of the collimator 12. Preferably, the slit 16 is provided in the shape of an elongated, substantially uniform rectangle so that a beam passing through the slit 16 is provided with an elongated, substantially uniform rectangle cross-section. The collimator 12 is made adjustable by providing the slit 16 with an adjustable width w. Variable width x-ray beams are desirable, for example, in CT scanners that allow for flexibility in the number and thickness of slices acquired during a scan. In such a scanner 10, the x-ray beam 14 is collimated such that its cross-section irradiates a particular row, or rows of detectors, without irradiating adjacent rows of detectors not utilized for that scan. The collimator 12 generally includes two elongated segments 18 that each include an elongated. plate 20. Inner edges 21 of the elongated plates 20 actually form the collimating slit 16. The collimator segments 18 extend between opposing supports 22, which secure the collimator 12 within the CT scanner 10. Referring also to FIGS. 7 and 8, the presently disclosed collimator 12 is provided with novel, yet simple cam mechanisms 24 for allowing rotary motors 26 to be employed for precisely adjusting the width w of the slit 16 of the collimator 12 by moving the collimator segments 18 on the supports 22. In particular, the cam mechanisms 24 translate the rotational movement of the motors 26 into linear movement of the collimator segments 18 in directions normal to the elongated slit 16, whereby the width w of the slit 16 can be adjusted. Each cam mechanism 24 includes an elongated rotatable shaft 28 having two identical cams 30 fixed to the shaft for rotation therewith. The cams 30 include cam surfaces 32 facing outwardly in opposing linear directions with respect to axes 29 of the shafts 28. Each cam mechanism 24 also includes cam followers 34, which are secured to the movable collimator segments 18. As shown, the cam followers are preferably provided as rollers 34, which are mounted to the collimator segments 18 such that the rollers 34 are able to rotate about axes 35 generally normal to the axes 29 of the shafts 28. The rollers 34, therefore, reduce friction between the cam surfaces 32 and the movable collimator segments 18 as the cams 30 are rotated. As shown in FIGS. 7 and 8, as the shafts 28 and the cams 30 are rotated, the cam followers 34 are allowed to move linearly in a direction parallel to the axes 29 of the shafts 28. In particular, the cam surfaces 32 are shaped so that, as the cams 30 are rotated in a counter-clockwise direction, the cam followers 34 of each mechanism 24 are allowed to move inwardly towards the cams 30 in a direction parallel with the axes 29 of the shafts 28. As the cams 30 are rotated in a clockwise direction, the cam followers 34 are pushed outwardly by the cam surfaces 32, away from the cams 30 in a direction parallel with the axes 29 of the shafts 28. The cam mechanism 24 is shown in an open position in FIG. 7, and shown in a closed position in FIG. 8. The cam surfaces 32 of the cam mechanism 24 of FIGS. 7 and 8 are generally smooth and thus provide a linear increase or decrease in the width w of the collimating slit 16. Referring to FIG. 9, another cam mechanism 124 according to the present disclosure is shown. The cam mechanism 124 is similar to the cam mechanism 24 of FIGS. 7 and 8, and elements that are the same have the same reference numerals. The cam mechanism 124 of FIG. 9, however, includes cams 130 having cam surfaces 132 with steps formed thereon. The stepped cam surfaces 132 cause the width w of the collimating slit 16 to increase and decrease in discrete steps or increments as the cams 130 are rotated. For example, the steps may be formed in the cam surface 132 such that the width w of the slit 16 increases and decreases in one-millimeter increments. Referring back to FIGS. 4 through 6, the collimator 12 also includes springs 36 biasing the two segments 18 against the cams 30. The springs 36 can comprise helical metal compression springs or compression bands of resilient rubber or synthetic rubber material, for example. The springs 36 are stretched between corresponding bosses 38 of the collimator segments 18 to pull the segments together, and towards the cams 30. The collimator segments 18 are shown in an open position in FIG. 4 (wherein the slit 16 is at its maximum width w), and shown in a closed position in FIGS. 5 and 6 (wherein the slit 16 is at its minimum width w). Rotary bearings 40 are received coaxially on recesses 42 in the shafts 28, and each shaft has a shaped end 44 for engagement by the motors 26. The shafts 28 also coaxially receive linear-rotary bearings 46 for supporting the collimator segments 18, such that shafts 28 can rotate with respect to the collimator segments 18 and the collimator segments 18 can linearly slide with respect to the shafts 28. Preferred linear-rotary bearings 46 are available, for example, from Berg Manufacturing of East Rockaway, N.Y. (http://www.wmberg.com). Referring to FIGS. 3 through 6, each collimator 12 segment includes the collimator plate 20 and a radial frame portion 48 extending normal from an outer edge of the plate. Sleeves 50 extend from opposite ends of the radial frame portions 48 and receive the linear-rotary bearings 46 of the cam mechanisms 24, such that the collimator segments 18 can slide on the shafts 28 of the cam mechanisms 24 to vary the width w of the collimating slit 16. The collimating slit 16 is formed by the inner edges 21 of the plates 20 of the segments 18. Preferably, one of the edges 21 has protrusions 52, as best shown in FIGS. 4 and 5, so that the slit 16 will have a predefined minimum width w, as shown in FIG. 5. The collimator segments 18 also include lead alloy strips 54 secured to the inner edges 21 of the plates 20 to more precisely define an x-ray profile. The collimator plates 20 are preferably curved about a common axis of curvature, so that all points of the slit 16 are equally spaced from the common axis of curvature. The supports 22 each include mounting blocks 56 having annular retainers 58 receiving the rotary bearings 40 of the cam mechanisms 24 such that the bearings are free to rotate within the retainers. As shown in FIGS. 4 through 6, central retainers 58 of the supports 22 include prongs 60 extending outwardly therefrom, which intercept prongs 62 radially extending from the cams 30 and act as stops to limit the total rotation of the cam mechanisms 24. The motors preferably comprise two synchronized stepping motors 26, which are mounted via mounting plates 64 to the supports 22 such that the motor engages the shaped ends 44 of the shafts 28. The synchronized stepping motors 26 are controlled by a controller 66 having a counter for determining the width w of the slit 16 based upon the stepped rotations of the motors 26. A similar controller and counter combination is shown for example in U.S. Pat. No. 5,550,886 to Dobbs et al. entitled xe2x80x9cX-ray Focal Spot Movement Compensation Systemxe2x80x9d, which is assigned to the assignee of the present disclosure and which is incorporated herein by reference in its entirety. Referring back to FIGS. 1 and 2, in addition to the collimator 12, the CT scanner 10 includes an annular gantry 100 having an x-ray source 102 that projects the beam 14 of x-rays toward a detector array 104 on an opposite side of the gantry 100. The x-ray beam 14 is collimated to lie within in an x-y plane of a Cartesian coordinate system and generally referred to as an xe2x80x9cimaging planexe2x80x9d. The detector array 104 is formed by detector elements, which together sense the projected x-rays 14 that pass through a patient 106. Each detector element 104 produces an electrical signal that represents the intensity of an impinging x-ray beam 14 and hence the attenuation of the beam as it passes through patient 106. During a scan to acquire x-ray projection data, the annular gantry 100 and the components mounted thereon rotate about a center of rotation 108, which is parallel with a z-axis of the Cartesian coordinate system. As shown in FIG. 2, rotation of the gantry 100 and the operation of the x-ray source 102 are governed by a control mechanism 110 of the CT scanner 10. The control mechanism 110 includes an x-ray controller 112 that provides power and timing signals to the x-ray source 102 and a gantry motor controller 114 that controls the rotational speed and position of the gantry 100. A data acquisition system (DAS) 116 of the control mechanism 110 samples analog data from the detector elements 104 and converts the data to digital signals for subsequent processing. An image reconstructor 118 receives sampled and digitized x-ray data from the DAS 116 and performs high speed image reconstruction, which is applied as an input to a computer 120 which stores the image in a mass storage device 121. The computer 120 receives commands and scanning parameters from an operator via an input device, such as a keyboard 122, and a video display 124 allows the operator to observe the reconstructed image and other data from computer. The operator supplied commands and parameters are used by the computer 120 to provide control signals and information to the DAS 116, the x-ray controller 112 and the gantry motor controller 114. In addition, the computer controls a table motor controller 126 which controls a motorized table 128 to position the patient 106 through a central opening 101 in the gantry 100. In particular, the table moves portions of the patient 106 through the annular gantry 100 in a direction parallel with the rotation axis 108 of the gantry. The collimator 12 of FIGS. 3 through 8, is mounted within a frame 130 of the annular gantry 100 of the scanner 10, as shown in FIG. 3. In particular, the supports 22 of the collimator 12 are secured to the gantry frame 130 with suitable fasteners, such as steel bolts. The gantry frame 130 also includes receptacles 132, 134 for receiving, respectively, the x-ray beam source 102 and the detector array 104 on either side of the collimator 12. As shown in FIG. 3, the collimator 12 is mounted between an opening 136 of the annular frame 130 and the receptacle 134 for the detector array 104. Referring also to FIGS. 1 and 2, the collimator 12 is positioned in the gantry 100 between the opening 101 of the annular gantry 100 and the array of detectors 104. In this position, the collimator 12 collimates the x-ray beam 14 of the CT scanner 10. after the x-ray beam has passed through a patient 106 being scanned within the opening 101 of the annular gantry 100. The collimator 12 is utilized as a xe2x80x9cpost-patientxe2x80x9d collimator, and is suitably sized for that role. As shown in FIG. 2, the collimator 12 is also mounted in the gantry 100 so that the axis of curvature 17 of the collimator 12 intersects a focal spot 103 of the x-ray source of the scanner 10, whereby all points of the collimating slit 16 are equally spaced from the focal spot. In this manner, the slit 16 of the collimator 12 produces a shaped x-ray beam having a cross-section that is of substantially uniform width throughout. The collimator motor controller 66 is preferably connected to the computer 120 of the CT scanner 10. While an adjustable collimator 12 constructed in accordance with the present disclosure has been particularly shown and described with reference to the embodiment of FIGS. 3-8, it should be understood that the particular embodiment is intended by way of illustration and example only and is not to be taken by way of limitation. Various changes can be made to the particular embodiment described without departing from the spirit and scope of an adjustable collimator 12 as defined by the appended claims. For example, while the presently disclosed collimator 12 has been shown and described with particular reference to x-ray beams of CT scanners, it is to be appreciated that the disclosure may find further application in other areas of radiography, such as medical diagnostic digital x-ray, conventional x-ray, radiation therapy, and the like. In addition, the collimator 12 is shown being utilized as a xe2x80x9cpost-patientxe2x80x9d collimator, i.e., the collimator 12 collimates the x-ray beam of the CT scanner after the x-ray beam has. passed through a patient being scanned. The unique features of the presently disclosed collimator 12, however, are not meant to be limited for use with a post-patient collimator, and can be applied to any type of collimator where adjustable beam widths are desired. Furthermore, the cam followers 34 of the collimator 12 can be provided as a unitary piece of the collimator segments 18, instead of as separate rotatable rollers. It is also envisioned that linearly movable cams can be used to move the collimator segments 18 in place of the rotary movable cams 30. In addition, the collimator 12 can be arranged such that the springs 36 bias the collimating slit 16 in an open position instead of a closed position, and the cams 30 can be positioned outside of the segments 18 instead of between the segments. Accordingly, the spirit and scope of the present disclosure are to be limited only by the terms of the appended claims.
description
The fluid flow in a boiling water reactor will be generally described with reference to FIG. 1. Feedwater is admitted into a reactor pressure vessel (RPV) 10 via a feedwater inlet 12 and a feedwater sparger 14, which is a ringshaped pipe having suitable apertures for circumferentially distributing the feedwater inside the RPV. A core spray inlet 11 supplies water to a core spray sparger 15 via core spray line 13. The feedwater from feedwater sparger 14 flows downwardly through the downcomer annulus 16, which is an annular region between RPV 10 and core shroud 18. Core shroud 18 is a stainless steel cylinder which surrounds the core 20 comprising numerous fuel assemblies 22 (only two 2xc3x972 arrays of which are depicted in FIG. 1). Each fuel assembly is supported at the top by top guide 19 and at the bottom by core plate 21. Water flowing through downcomer annulus 16 then flows to the core lower plenum 24. The water subsequently enters the fuel assemblies 22 disposed within core 20, wherein a boiling boundary layer (not shown) is established. A mixture of water and steam enters core upper plenum 26 under shroud head 28. Core upper plenum 26 provides standoff between the steam-water mixture exiting core 20 and entering vertical standpipes 30, which are disposed atop shroud head 28 and in fluid communication with core upper plenum 26. The steam-water mixture flows through standpipes 30 and enters steam separators 32, which are of the axial-flow centrifugal type. The separated liquid water then mixes with feedwater in the mixing plenum 33, which mixture then returns to the core via the downcomer annulus. The steam passes through steam dryers 34 and enters steam dome 36. The steam is withdrawn from the RPV via steam outlet 38. The BWR also includes a coolant recirculation system which provides the forced convection flow through the core necessary to attain the required power density. A portion of the water is sucked from the lower end of the downcomer annulus 16 via recirculation water outlet 43 and forced by a centrifugal recirculation pump (not shown) into jet pump assemblies 42 (only one of which is shown) via recirculation water inlets 45. The BWR has two recirculation pumps, each of which provides the driving flow for a plurality of jet pump assemblies. The pressurized driving water is supplied to each jet pump nozzle 44 via an inlet riser 47, an elbow 48 and an inlet mixer 46 in flow sequence. A typical BWR has 16 to 24 inlet mixers. The present invention is based on the discovery that it is possible to control the amount of metals deposited on an oxided metal surface in high temperature water, as well as the ratio of metal deposit from a mixture of metals, by careful choice of the temperature of the water, concentration of the metal and time. In the following discussion, for convenience of description, reference will be made to the use of platinum as a typical noble metal. When mixtures are being considered, platinum and rhodium will be described for ease of reference. It is understood, however, that the invention is not limited to the use of platinum and rhodium, and other platinum group and/or non-platinum group metals may be used alone or as mixtures. Compounds of the platinum group metals are preferred. The term xe2x80x9cplatinum group metalxe2x80x9d, as used herein, means platinum, palladium, osmium, ruthenium, iridium, rhodium and mixtures thereof. It is also possible to use compounds of non-platinum group metals, such as for example zinc, titanium, zirconium, niobium, tantalum, tungsten and vanadium. Mixtures of platinum group compounds may also be used. Mixtures of platinum group compounds and non-platinum group compounds may also be used in combination, for example platinum and zinc. The compounds may be organometallic, organic or inorganic and may be soluble or insoluble in water (i.e. may form solutions or suspensions in water and/or other media such alcohols and/or acids). Generally, when mixtures of platinum and non-platinum group metals are used, the platinum group metal is in excess of the other metal. Examples of preferred platinum group metal compounds which may be used are palladium acetyl acetonate, palladium nitrate, palladium acetate, platinum acetyl acetonate, hexahydroxyplatinic acid, Na2Pt(OH)6, Pt(NH3)4(NO3)2, Pt(NH3)2(NO3)2, K3Ir(NO2)6 and K3Rh(NO2)6. Other examples are platinum(IV) oxide (Pt(IV)O2), platinum(IV) oxide-hydrate (Pt(IV)O2.xH2O, where x is 1-10), rhodium(II) acetate (Rh(II)ac2), Rh(III) nitrate (Rh(III)(NO3)3), rhodium(III) oxide (Rh(III)2O3), rhodium(III) oxide-hydrate (Rh(III)2O3.xH2O, where x is 1-10), rhodium(II) phosphate (Rh(III)PO4) and rhodium(III) sulphate (Rh(III)2(SO4)3). Examples of mixtures of the compounds which may be used are mixtures containing platinum and iridium, and platinum and rhodium. Use of such mixtures results in incorporation of noble metals on the oxided stainless steel surfaces of both noble metals. The presence of iridium or rhodium with the platinum gives good long-term durability. It has been found that a combination of about 40-80 ppb Pt and 10-35 ppb Rh, for example concentrations of about 60 ppb Pt and about 20 ppb Rh in water, provides good adherent properties over extended periods of time. The metal compound may be injected in situ in the form of an aqueous solution or suspension, or may be dissolved in the water before the metal surface to be treated is introduced. As used in the claims hereafter, the term xe2x80x9csolutionxe2x80x9d means solution or suspension. Solutions and suspensions may be formed using media well known to those skilled in the art. Examples of suitable media in which solutions and/or suspensions are formed, are water, alkanols such as ethanol, propanol, n-butanol, and acids such as lower carboxylic acids, e.g. acetic acid, propionic acid and butyric acid. FIGS. 2A and 2B show the effect of variation of temperature on metal deposit loading rate as well as the effect of distance from the point of introduction of the compound to the region of deposit on the metal surface. As demonstrated in FIGS. 2A and 2B, surprisingly enhanced loading is observed over the temperature range of 200xc2x0 to 500xc2x0 F., more especially in the range of 300xc2x0 to 450xc2x0 F., and particularly at about 340xc2x0 to 360xc2x0 F. As seen from FIGS. 2A and 2B, the loading observed in the temperature range of 300 to 450xc2x0 F. extends from about 10 xcexcg/cm2 at about 300xc2x0 F. to a maximum of about 62 xcexcg/cm2 at about 340xc2x0 F., and then drops off to about 10 xcexcg/cm2 and lower as the temperature rises towards 500xc2x0 F. This peaking effect is surprising and affords the advantage that loading of the metal species on the metal surface can be controlled by careful selection of the water temperature and point of introduction of the metal to be deposited. When the metal compound solution or suspension enters the high-temperature water, the compound decomposes very rapidly to produce atoms, which are incorporated into the metal (typically stainless steel) oxide film. In accordance with the process, only the solution or suspension of the compound is introduced into the high-temperature water initially. No further agents, such as hydrogen, other reducing agents, acids or bases are introduced into the high-temperature water when the compound solution or suspension is injected into and decomposes in the high-temperature water. FIG. 3 shows the effect of temperature on the ratio of platinum and rhodium deposited on the metal surface. The presence of rhodium renders the deposit more durable. As the temperature reaches 300xc2x0 to 500xc2x0 F., the ratio of deposited platinum to rhodium drops to within the range of about 5:1 to 10:1. Thus, knowing this relationship, it is possible to control the ratio of platinum to rhodium in the deposited layer based on the prevailing temperature conditions of the water. FIG. 4 shows that the deposition rate for a 60 ppb platinum and 20 ppb rhodium solution is a negative exponential with temperature in the 180 to 350xc2x0 F. range. From this it is possible to predict the effect of temperature on the ratio of deposit of the metals and the time required to deposit a given quantity of noble metal in the oxide. Higher xcex94E for rhodium indicates slower rhodium deposition rate. This figure can be used to select the conditions required to select the conditions required for depositing the desired platinum/rhodium ratio and quantity FIGS. 5 and 6 show that the deposition rate is approximately linear within the concentration range investigated (0-60 ppb). FIGS. 7A and 7B show the deposition of platinum and rhodium is approximately linear with time. The bulk concentration of platinum and rhodium, time and temperature are the variables that can be used to produce a desired platinum to rhodium deposit ratio and total noble metal loading. The process of the present invention is distinguished from the processes of U.S. Pat. Nos. 5,130,080 and 5,130,181 to Niedrach. The Niedrach patents teach that it is possible to electrolessly plate oxide films using conventional electroless plating techniques. Conventional electroless plating is carried out at relatively low temperatures, typically in the region of 50 to 80xc2x0 C., possibly lower, and requires the presence of an added reducing agent, typically sodium hypophosphite, to supply electrons for reduction of the noble metal ions to the metal. The reaction takes place only on a catalytic surface which has been sensitized/activated beforehand, for example with stannous chloride, and the process results in a build-up of metal coating on the surface which eventually coats the entire surface with deposited metal. The electroless plating bath typically contains high ionic concentrations, of the order of thousands of ppm, of chemicals, including, for example, palladium (II) chloride, ammonium hydroxide, ammonium chloride, disodium EDTA and hydrazine, as well as a reducing agent (e.g. sodium hypophosphite). The pH of the electroless bath is usually in the region of 9.0 to 10.5 in view of the presence of base (ammonium hydroxide and ammonium chloride). The process of the present invention does not rely on the use of electroless plating techniques or other techniques which result in the metal being plated on the oxide surface. In the present process, the metal compound or mixture of metal compounds is introduced into the high-temperature water in an amount such that the concentration of the metal(s) in the water is very low, i.e. in the ppb range, but is sufficient such that when present on the metal component, the ECP is lowered below the critical potential required for protection from stress corrosion cracking. Typically, the metal compound is added in such an amount to produce a metal concentration of no higher than 2000 ppb, for example 0.1 to 1000 ppb, typically 1 to 500 ppb, more usually 5 to 100 ppb. The compound solution or suspension may be injected into the high-temperature water while the reactor is operating and generating nuclear heat (full power operation), or during cool down, during outage, during heat-up, during hot standby, or during low power operation. The noble metal may be introduced into residual heat removal (RHR) piping, recirculation piping, feedwater line, core delta P line, jet pump instrumentation line, control rod drive cooling water lines, water level control points, or any other location which provides introduction of the noble metal into the reactor water and good mixing with the water. As used herein, the term xe2x80x9chigh-temperature waterxe2x80x9d in the present invention means water having a temperature of about 200xc2x0 F. or greater, steam, or the condensate thereof. High temperature water can be found in a variety of known apparatus, such as water deaerators, nuclear reactors, and steam-driven power plants. The temperature of the water when noble metal is added to the reactor water is typically in the range of 200-500xc2x0 F., for example 200-450xc2x0 F., more usually about 340xc2x0-360xc2x0 F. When the compound is in the high-temperature water, it decomposes very rapidly and the metal atoms are incorporated in the oxide surface. At the very low levels of metal(s) introduced into the reactor, the stainless steel oxide surface is not covered completely with metal. Typically, the oxide surface has metal present in an amount of about 0.1-15 atomic %, for example 0.5-10 atomic %, more usually 2-5 atomic %. The depth of metal in the oxide surface is generally in the range of 100 to 1000 Angstroms, more usually 200 to 500 Angstroms. The external appearance of the oxided alloy treated according to the present process does not differ from the appearance of untreated stainless steel oxide. The noble metal containing surface does not have a bright metallic luster as is generally obtained with electroplating or electroless coating processes. In the present process, only very dilute compound solution or suspension is injected into the high-temperature water. No reducing agents (including hydrogen), acids and bases, are added. As a result, the typical pH of the water at ambient temperature is in the region of 6.5 to 7.5, and at higher operating temperatures is lower, generally in the region of about 5.5-5.8, for example 5.65. This is due to increased dissociation of the water at the higher temperatures. An operating BWR has very stringent coolant water conductivity levels which must be observed. Typically, the conductivity of the coolant water must not exceed 0.3 xcexcS/cm, and more usually must be less than 0.1 xcexcS/cm. Such conductivity levels are adversely impacted by high concentrations of ionic species, and every effort is made in the present process to ensure that reactor ionic concentrations are maintained as low as possible after clean-up, preferably less than 5 ppb. The process in particular excludes the use of chloride ion in view of its corrosive nature. The present process does not involve any catalytic activation/sensitization of the stainless steel oxide surface. The use of stannous chloride to achieve such activation would be incompatible with operation of the BWR and the stringent conductivity limits on the coolant water referred to above. While not being bound by theory, it is understood that the metal, for example platinum and/rhodium, is incorporated into the stainless steel oxide film via a thermal decomposition process of the compound wherein metal ions/atoms apparently replace iron, nickel and/or chromium atoms in the oxide film, resulting in a metal-doped oxide film. The metal, such as platinum/rhodium, may for example be incorporated within or on the surface of the oxide film and may be in the form of a finely divided metal. The oxide film is believed to include mixed nickel, iron and chromium oxides. The ECPs of the stainless steel components all drop by approximately 0.30 V after injection of the noble metal and subsequent addition of low levels of hydrogen. It is possible to reduce the ECP of Type 304 stainless steel to IGSCC protection values without injecting hydrogen when an organic metal compound has been injected into the water. The catalytic oxidation of organics on noble metal-doped surfaces consumes oxygen, thereby lowering the dissolved oxygen content in the high temperature water. Good results are also obtained when an inorganic metal compound(s) is used. Moreover, clean-up of the water is easier when inorganic(s) such as nitrates are used as compared to organics such as formates and acetates. For this reason, inorganic compounds, particularly inorganic platinum group metal compounds (e.g. noble metal nitrates and nitrites), are typically used. Following injection and incorporation of the metal(s) in the oxided stainless steel surfaces, the water is subjected to a conventional clean-up process to remove ionic materials such as nitrate ions present in the water. This clean-up process is usually carried out by passing a fraction of the water removed from the bottom head of the reactor and recirculation piping through an ion exchange resin bed, and the treated water is then returned to the reactor via the feedwater system. Hydrogen may subsequently be introduced into the water some time after the doping reaction, for example 1 to 72 hours after injection and incorporation of the metal atoms in the oxided surface, to catalyze recombination of hydrogen and oxygen on the metal doped surfaces. As hydrogen is added, the potential of the metal-doped oxide film on the stainless steel components is reduced to values which are much more negative than when hydrogen is injected into a BWR having stainless steel components which are not doped with the noble metal. The noble metal-containing compound is injected in situ into the high-temperature water of a BWR in an amount such as to produce, upon decomposition of the compound, a metal concentration of up to 2000 ppb, for example about 1 to 850 ppb, more usually 5 to 100 ppb. Preferably, the palladium compound is injected at a point downstream of the recirculation water outlet 43 (see FIG. 1). The high temperatures as well as the gamma and neutron radiation in the reactor core act to decompose the compound, thereby freeing noble metal ions/atoms for deposition on the surface of the oxide film. As used herein, the term xe2x80x9catomsxe2x80x9d means atoms or ions. It has been shown in other commonly assigned cases, for example U.S. Ser. No. 08/635,539, filed Apr. 22, 1996 (herein incorporated by reference) that palladium treatment in accordance with the invention, the ECP value of the stainless steel surfaces remains quite negative and below the required IGSCC protection potential of xe2x88x920.230 V(SHE) even without the addition of any hydrogen when organics are present in the water. The noble metal injection solution may be prepared for example by dissolving the noble metal compound in ethanol. The ethanol solution is then diluted with water. Alternatively, a water-based suspension can be formed, without using ethanol, by mixing the noble metal compound in water. The noble metal either deposits or is incorporated into the stainless steel oxide film via a thermal decomposition process of the noble metal compound. As a result of that decomposition, noble metal ions/atoms become available to replace atoms, e.g., iron atoms, in the oxide film, thereby producing a noble metal-doped oxide film on stainless steel. The present invention offers the advantage that steel surfaces can be doped with noble metal using an in situ technique (while the reactor is operating) which is simple in application and also inexpensive. However, the technique is not limited to in situ application. The application technology can be implemented even for doping ex situ components. The technique can be applied to operating BWRs and PWRs and their associated components, such as steam generators. In practice, the noble metal concentration in the reactor water is preferably in the range of 1 to 1000 ppb, for example 2 to 900 ppb, more usually 5 to 100 ppb. The foregoing method has been disclosed for the purpose of illustration. Variations and modifications of the disclosed method will be readily apparent to practitioners skilled in the art of hydrogen water chemistry. For example, metals other than platinum/rhodium can be applied using this technique, e.g., other platinum group metals. A platinum group metal can be injected in the form of an organic, organometallic or inorganic compound to reduce the potential of stainless steel reactor components even in the absence of hydrogen injection. Alternatively, the platinum group metal can be injected in the form of an inorganic compound to reduce the potential of stainless steel reactor components. It may also be possible to dope oxide films on stainless steel components with non-platinum group metals, e.g., zirconium and titanium, using the technique of the invention. All such variations and modifications are intended to be encompassed by the claims set forth hereinafter.
description
This application claims the benefit of U.S. Provisional Application No. 61/787,228, filed on Mar. 15, 2013 and titled BEAM POSITION CONTROL FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE, which is incorporated herein by reference in its entirety. The disclosed subject matter relates to beam position control for an extreme ultraviolet (EUV) light source. Extreme ultraviolet (EUV) light, for example, electromagnetic radiation having wavelengths of around 50 nm or less (also sometimes referred to as soft x-rays), and including light at a wavelength of about 13 nm, can be used in photolithography processes to produce extremely small features in substrates, for example, silicon wafers. Methods to produce EUV light include, but are not necessarily limited to, converting a material that has an element, for example, xenon, lithium, or tin, with an emission line in the EUV range into a plasma state. In one such method, often termed laser produced plasma (LPP), the plasma can be produced by irradiating a target material, for example, in the form of a droplet, stream, or cluster of material, with an amplified light beam that can be referred to as a drive laser. For this process, the plasma is typically produced in a sealed vessel, for example, a vacuum chamber, and monitored using various types of metrology equipment. In one general aspect, a system for an extreme ultraviolet light source includes one or more optical elements positioned to receive a reflected amplified light beam and to direct the reflected amplified light beam into first, second, and third channels, the reflected amplified light beam including a reflection of at least a portion of an irradiating amplified light beam that interacts with a target material; a first sensor that senses light from the first channel; a second sensor that senses light from the second channel and the third channel, the second sensor having a lower acquisition rate than the first sensor; and an electronic processor coupled to a computer-readable storage medium, the medium storing instructions that, when executed, cause the processor to: receive data from the first sensor and the second sensor, and determine, based on the received data, a location of the irradiating amplified light beam relative to the target material in more than one dimension. Implementations can include one or more of the following features. The medium can further store instructions that, when executed, cause the processor to determine an adjustment to the irradiating amplified light beam based on the determined location. The determined adjustment can include distances, in more than one dimension, to move the irradiating amplified light beam. The instructions to cause the processor to determine a location of the irradiating amplified light beam can include instructions that, when executed cause the processor to determine a location of a focus position of the irradiating amplified light beam relative to the target material in a direction that is parallel to a direction of propagation of the irradiating amplified light beam, and determine a location of the focus position of the irradiating amplified light beam relative to the target material in a first transverse direction that is perpendicular to the direction of propagation of the irradiating amplified light beam. The instructions can further include instructions that, when executed, cause the processor to determine a location of the focus position of the irradiating amplified light beam in a second transverse direction that is perpendicular to the first transverse direction and perpendicular to the direction of propagation of the irradiating amplified light beam. The system also can include an astigmatic optical element, positioned in the third channel, that modifies a wavefront of the reflected amplified light beam. The system also can include multiple partially reflective non-astigmatic optical elements, each positioned at a different location in the third channel and each receiving at least part of the reflected amplified light beam, each of the multiple partially reflective optics forming a beam that follows a path of a different length between the target material and the second detector. The first, second, and third channels can be three separate paths, each defined by one or more refractive or reflective optical elements that direct a portion of the reflected amplified light beam. The reflected amplified light beam can include a reflection of a pre-pulse beam and a drive beam, the drive beam being an amplified light beam that converts the target material to plasma upon interaction, and the pre-pulse and drive beams can include different wavelengths, and the system can further include one or more spectral filters that are transparent to only one of the pre-pulse beam and the drive beam. The first sensor can senses light pointing at a high acquisition rate from the first channel; the second sensor can include a two-dimensional imaging sensor that senses light and measures intensity distribution of the light from the second channel and the third channel; and the instructions that, when executed, cause the processor to determine, based on the received data, a location of the irradiating amplified light beam, can cause the processor to determine a focus position of the irradiating amplified light beam relative to the target material in more than one dimension. In another general aspect, aligning an irradiating amplified light beam relative to a target material includes accessing first, second, and third measurements of a reflected amplified light beam, the first measurement obtained from a first sensor, the second and third measurements obtained from a second sensor having a lower acquisition rate than the first sensor, and the reflected amplified light beam being a reflection of the irradiating amplified light beam from a target material; determining, based on the first measurement, a first location of the amplified light beam relative to the target material in a direction that is perpendicular to the direction of propagation of the irradiating amplified light beam; determining, based on the second measurement, a second location of the amplified light beam relative to the target material in a direction that is perpendicular to the direction of propagation of the irradiating amplified light beam; determining, based on the third measurement, a location of a focus position of the amplified light beam relative to the target material in a direction that is parallel to the direction of propagation of the irradiating amplified light beam; and repositioning the irradiating amplified light beam to relative to the target material based on one or more of the first location, the second location, or the location of the focus position to align the irradiating amplified light beam relative to the target material. Implementations can include one or more of the following features. An adjustment to the location of the focus position of the amplified light beam can be determined based on the determined location of the focal position, and repositioning the irradiating amplified light beam can include moving the focus position of the irradiating amplified light beam based on the determined adjustment to the location of the focus position. An adjustment to the amplified light beam can be determined based on one or more of the determined first location or the determined second location. The amplified light beam can be a pulse of light, the determined first location can be a location of the amplified light beam focus relative to the target material in a direction parallel to a direction in which the target material travels, and the determined adjustment to the alignment to the amplified light beam can be a distance between the amplified light beam and the target material in the direction parallel to the direction in which the target material travels, and repositioning the irradiating amplified light beam pulse can include causing a delay in the amplified light beam that corresponds to the distance between the amplified light beam and the target material such that a subsequent pulse of light intersects a target material. The determined second location can include a location of the amplified light beam in a direction that is perpendicular to the direction in which the target material travels and perpendicular to a direction of propagation of the amplified light beam, and the determined adjustment to the alignment of the amplified light beam can include a distance between the amplified light beam and the target material location, and repositioning the irradiating amplified light beam can include generating an output based on the determined adjustment, the output being sufficient to cause repositioning of an optical assembly that steers the amplified light beam; and providing the output to the optical assembly. Repositioning the irradiating amplified light beam can include generating an output based on the determined adjustment to the location of the focus position, the output being sufficient to cause repositioning of an optical element that focuses the amplified light beam; and providing the output to an optical assembly that includes the optical element. The third measurement can include an image of the reflected amplified light beam, and determining a location of the focus position of the amplified light beam can include analyzing the image to determine a shape of the reflected amplified light beam. Analyzing the image to determine a shape of the reflected amplified light beam can include determining an ellipticity of the reflected amplified light beam. The third measurement can include images of the reflected amplified light beam sampled at multiple locations, and determining a location of the focus position of the amplified light beam can include comparing the widths of the reflected amplified light beam at two or more of the multiple locations. In another general aspect, an extreme ultraviolet light system includes a source that produces an irradiating amplified light beam; a steering system that steers and focuses the irradiating amplified light beam toward a target material in a vacuum chamber; a beam positioning system that includes one or more optical elements positioned to receive a reflected amplified light beam that is reflected from the target material and to direct the reflected amplified light beam into first, second, and third channels; a first sensor that senses light from the first channel; a second sensor, which includes a two-dimensional imaging sensor, that senses light from the second channel and the third channel, the second sensor having a lower acquisition rate than the first sensor; and an electronic processor coupled to a computer-readable storage medium, the medium storing instructions that, when executed, cause the processor to receive data from the first sensor and the second sensor, and determine, based on the received data, a location of the irradiating amplified light beam relative to the target material in more than one dimension. Implementations can include one or more of the following features. The medium can further store instructions that, when executed, cause the processor to determine an adjustment to the location of the irradiating amplified light beam based on the determined location. The determined adjustment can include an adjustment in more than one dimension. The instructions to cause the processor to determine a location of the irradiating amplified light beam relative to the target material can include instructions that, when executed cause the processor to determine a location of a focus of the irradiating amplified light beam relative to the target material in a direction that is parallel to a direction of propagation of the irradiating amplified light beam, and determine a location of the irradiating amplified light beam focus position relative to the target material in first and second transverse directions, each of which are perpendicular to the direction of propagation of the irradiating amplified light beam. The instructions can further include instructions that, when executed, cause the processor to determine an adjustment to the amplified light beam based on the determined location of the amplified light beam, and provide the generated output to the steering system. Implementations of any of the techniques described above may include a method, a process, an assembly, a device, a kit or pre-assembled system for retrofitting an existing EUV light source, executable instructions stored on a computer-readable medium, or an apparatus. The details of one or more implementations are set forth in the accompanying drawings and the description below. Other features will be apparent from the description and drawings, and from the claims. Techniques for aligning or otherwise controlling the position of an amplified light beam in a laser produced plasma (LPP) extreme ultraviolet (EUV) light source based on measurements of a reflected amplified light beam are disclosed. The LPP EUV light source produces EUV light by directing an amplified light beam (an irradiating amplified light beam or a forward beam) toward a target location that receives a target material. The target material includes a material that emits EUV light when converted to plasma. When the irradiating amplified light beam strikes the target material, the target material can absorb the amplified light beam and convert to plasma and/or the target material can reflect the irradiating amplified light beam to generate the reflected amplified light beam (droplet-reflected beam or return beam). During use of the EUV light source, the irradiating amplified light beam can move away from the target location, reducing the likelihood of converting the target material to plasma. As discussed below, the measurements of the reflected amplified light beam are used to monitor the location of the irradiating amplified light beam in multiple dimensions relative to the target material. The monitored location is used to determine adjustments to the irradiating amplified light beam so that the irradiating amplified light beam remains aligned with the target location during operation of the light source. The techniques discussed below allow monitoring of the focus position of the amplified light beam relative to the target position and control of the beam focus so that it remains at an optimal position with respect to the target position. Multiple physical effects can cause the amplified light beam to move away from the target location. For example, heating of a focusing optic such as a lens or curved mirror that focuses the irradiating amplified light beam at the target location can change the focal length of the focusing optic and move a focal plane of the irradiating amplified light beam along a “z” direction that is parallel to the direction of propagation of the irradiating amplified light beam. Vibrations of turning mirrors and other optical elements that steer and direct the irradiating amplified light beam toward the target location can move the amplified light beam away from the target location in “x” and/or “y” directions that are transverse to the direction of propagation of the amplified light beam. For pulsed amplified light beams, a displacement between the focus position and the target material along the “x” direction, which is parallel to a path along which the droplet travels toward the target location, can indicate that the pulse is arriving in the target region before or after the target material. To determine the location of the amplified light beam, separate sensors, having different data acquisition rates, are used to image the reflected amplified light beam, and data from the sensors is used to determine the position of the amplified light beam in multiple dimensions. Using sensors with different data acquisition rates can provide additional information because the time scales of the physical effects that cause the irradiating amplified light beam to move relative to the target location vary. For example, thermal effects on the lens that focuses the amplified light beam, such as heating of the lens material through absorption of the amplified light beam or the plasma, which cause the focal plane of the amplified light beam to move along the “z” direction occur more slowly than some movements in the “x” and/or “y” direction, which can be caused by high-frequency vibrations of optical elements. As such, the monitoring technique discussed below can improve performance of an EUV light source by adjusting the location of the irradiating amplified light beam in multiple dimensions relative to the target location or the target material, thus improving alignment of the irradiating amplified light beam and increasing an amount of EUV light produced by the light source. The EUV light source is discussed before discussing the monitoring techniques in more detail. FIG. 4 shows an example of a beam positioning system 260 that monitors and determines the location of the irradiating amplified light beam relative to the target material in multiple dimensions. The beam positioning system 260 also can generate signals that, when provided to actuators or other elements coupled to optical components, cause the components to change position to reposition the irradiating amplified light beam. Referring to FIG. 1A, an LPP EUV light source 100 is formed by irradiating a target mixture 114 at a target location 105 with an amplified light beam 110 that travels along a beam path toward the target mixture 114. The target location 105, which is also referred to as the irradiation site, is within an interior 107 of a vacuum chamber 130. When the amplified light beam 110 strikes the target mixture 114, a target material within the target mixture 114 is converted into a plasma state that has an element with an emission line in the EUV range. The created plasma has certain characteristics that depend on the composition of the target material within the target mixture 114. These characteristics can include the wavelength of the EUV light produced by the plasma and the type and amount of debris released from the plasma. The light source 100 also includes a target material delivery system 125 that delivers, controls, and directs the target mixture 114 in the form of liquid droplets, a liquid stream, solid particles or clusters, solid particles contained within liquid droplets or solid particles contained within a liquid stream. The target mixture 114 includes the target material such as, for example, water, tin, lithium, xenon, or any material that, when converted to a plasma state, has an emission line in the EUV range. For example, the element tin can be used as pure tin (Sn); as a tin compound, for example, SnBr4, SnBr2, SnH4; as a tin alloy, for example, tin-gallium alloys, tin-indium alloys, tin-indium-gallium alloys, or any combination of these alloys. The target mixture 114 can also include impurities such as non-target particles. Thus, in the situation in which there are no impurities, the target mixture 114 is made up of only the target material. The target mixture 114 is delivered by the target material delivery system 125 into the interior 107 of the chamber 130 and to the target location 105. The light source 100 includes a drive laser system 115 that produces the amplified light beam 110 due to a population inversion within the gain medium or mediums of the laser system 115. The light source 100 includes a beam delivery system between the laser system 115 and the target location 105, the beam delivery system including a beam transport system 120 and a focus assembly 122. The beam transport system 120 receives the amplified light beam 110 from the laser system 115, and steers and modifies the amplified light beam 110 as needed and outputs the amplified light beam 110 to the focus assembly 122. The focus assembly 122 receives the amplified light beam 110 and focuses the beam 110 to the target location 105. In some implementations, the laser system 115 can include one or more optical amplifiers, lasers, and/or lamps for providing one or more main pulses and, in some cases, one or more pre-pulses. Each optical amplifier includes a gain medium capable of optically amplifying the desired wavelength at a high gain, an excitation source, and internal optics. The optical amplifier may or may not have laser mirrors or other feedback devices that form a laser cavity. Thus, the laser system 115 produces an amplified light beam 110 due to the population inversion in the gain media of the laser amplifiers even if there is no laser cavity. Moreover, the laser system 115 can produce an amplified light beam 110 that is a coherent laser beam if there is a laser cavity to provide enough feedback to the laser system 115. The term “amplified light beam” encompasses one or more of: light from the laser system 115 that is merely amplified but not necessarily a coherent laser oscillation and light from the laser system 115 that is amplified and is also a coherent laser oscillation. The optical amplifiers in the laser system 115 can include as a gain medium a filling gas that includes CO2 and can amplify light at a wavelength of between about 9100 and about 11000 nm, and in particular, at about 10600 nm, at a gain greater than or equal to 1000. Suitable amplifiers and lasers for use in the laser system 115 can include a pulsed laser device, for example, a pulsed, gas-discharge CO2 laser device producing radiation at about 9300 nm or about 10600 nm, for example, with DC or RF excitation, operating at relatively high power, for example, 10 kW or higher and high pulse repetition rate, for example, 50 kHz or more. The optical amplifiers in the laser system 115 can also include a cooling system such as water that can be used when operating the laser system 115 at higher powers. FIG. 1B shows a block diagram of an example drive laser system 180. The drive laser system 180 can be used as the drive laser system 115 in the source 100. The drive laser system 180 includes three power amplifiers 181, 182, and 183. Any or all of the power amplifiers 181, 182, and 183 can include internal optical elements (not shown). Light 184 exits from the power amplifier 181 through an output window 185 and is reflected off a curved mirror 186. After reflection, the light 184 passes through a spatial filter 187, is reflected off of a curved mirror 188, and enters the power amplifier 182 through an input window 189. The light 184 is amplified in the power amplifier 182 and redirected out of the power amplifier 182 through an output window 190 as light 191. The light 191 is directed toward the amplifier 183 with fold mirrors 192 and enters the amplifier 183 through an input window 193. The amplifier 183 amplifies the light 191 and directs the light 191 out of the amplifier 183 through an output window 194 as an output beam 195. A fold mirror 196 directs the output beam 195 upwards (out of the page) and toward the beam transport system 120. The spatial filter 187 defines an aperture 197, which can be, for example, a circle having a diameter between about 2.2 mm and 3 mm. The curved mirrors 186 and 188 can be, for example, off-axis parabola mirrors with focal lengths of about 1.7 m and 2.3 m, respectively. The spatial filter 187 can be positioned such that the aperture 197 coincides with a focal point of the drive laser system 180. Referring again to FIG. 1A, the light source 100 includes a collector mirror 135 having an aperture 140 to allow the amplified light beam 110 to pass through and reach the target location 105. The collector mirror 135 can be, for example, an ellipsoidal mirror that has a primary focus at the target location 105 and a secondary focus at an intermediate location 145 (also called an intermediate focus) where the EUV light can be output from the light source 100 and can be input to, for example, an integrated circuit beam positioning system tool (not shown). The light source 100 can also include an open-ended, hollow conical shroud 150 (for example, a gas cone) that tapers toward the target location 105 from the collector mirror 135 to reduce the amount of plasma-generated debris that enters the focus assembly 122 and/or the beam transport system 120 while allowing the amplified light beam 110 to reach the target location 105. For this purpose, a gas flow can be provided in the shroud that is directed toward the target location 105. The light source 100 can also include a master controller 155 that is connected to a droplet position detection feedback system 156, a laser control system 157, and a beam control system 158. The light source 100 can include one or more target or droplet imagers 160 that provide an output indicative of the position of a droplet, for example, relative to the target location 105 and provide this output to the droplet position detection feedback system 156, which can, for example, compute a droplet position and trajectory from which a droplet position error can be computed either on a droplet by droplet basis or on average. The droplet position detection feedback system 156 thus provides the droplet position error as an input to the master controller 155. The master controller 155 can therefore provide a laser position, direction, and timing correction signal, for example, to the laser control system 157 that can be used, for example, to control the laser timing circuit and/or to the beam control system 158 to control an amplified light beam position and shaping of the beam transport system 120 to change the location and/or focal power of the beam focal spot within the chamber 130. The target material delivery system 125 includes a target material delivery control system 126 that is operable in response to a signal from the master controller 155, for example, to modify the release point of the droplets as released by a target material supply apparatus 127 to correct for errors in the droplets arriving at the desired target location 105. Additionally, the light source 100 can include a light source detector 165 that measures one or more EUV light parameters, including but not limited to, pulse energy, energy distribution as a function of wavelength, energy within a particular band of wavelengths, energy outside of a particular band of wavelengths, and angular distribution of EUV intensity and/or average power. The light source detector 165 generates a feedback signal for use by the master controller 155. The feedback signal can be, for example, indicative of the errors in parameters such as the timing and focus of the laser pulses to properly intercept the droplets in the right place and time for effective and efficient EUV light production. The light source 100 can also include a guide laser 175 that can be used to align various sections of the light source 100 or to assist in steering the amplified light beam 110 to the target location 105. In connection with the guide laser 175, the light source 100 includes a metrology system 124 that is placed within the focus assembly 122 to sample a portion of light from the guide laser 175 and the amplified light beam 110. In other implementations, the metrology system 124 is placed within the beam transport system 120. The metrology system 124 can include an optical element that samples or re-directs a subset of the light, such optical element being made out of any material that can withstand the powers of the guide laser beam and the amplified light beam 110. A beam analysis system is formed from the metrology system 124 and the master controller 155 since the master controller 155 analyzes the sampled light from the guide laser 175 and uses this information to adjust components within the focus assembly 122 through the beam control system 158. Thus, in summary, the light source 100 produces an amplified light beam 110 that is directed along the beam path to irradiate the target mixture 114 at the target location 105 to convert the target material within the mixture 114 into plasma that emits light in the EUV range. The amplified light beam 110 operates at a particular wavelength (that is also referred to as a source wavelength) that is determined based on the design and properties of the laser system 115. Additionally, the amplified light beam 110 can be a laser beam when the target material provides enough feedback back into the laser system 115 to produce coherent laser light or if the drive laser system 115 includes suitable optical feedback to form a laser cavity. Referring to FIG. 2A, a top plan view of an exemplary optical imaging system 200 is shown. The optical imaging system 200 includes an LPP EUV light source 205 that provides EUV light to a lithography tool 210. The light source 205 can be similar to, and/or include some or all of the components of, the light source 100 of FIGS. 1A and 1B. As discussed in greater detail below, to increase the amount of EUV light produced by the light source 205, the light source 205 includes a beam positioning system 260 that maintains the position of an irradiating amplified light beam 216 in three dimensions relative to a target material 246 during operation of the light source 205. The beam positioning system 260 receives and measures properties of a reflected amplified light beam 217 that arises when the irradiating amplified light beam 216 is reflected from at least part of the target material 246. The measured properties are used to determine and monitor the position of the irradiating amplified light beam 216 in multiple dimensions. The beam positioning system 260 is discussed in greater detail with respect to FIG. 4. The light source 205 includes a drive laser system 215 that produces the irradiating amplified light beam 216, a steering system 220, a vacuum chamber 240, the beam positioning system 260, and a controller 280. The steering system 220 receives the irradiating amplified light beam 216 and steers and focuses the irradiating amplified light beam toward a target location 242 in the chamber 240. The steering system 220 includes optical elements 222 and 224. In the example shown in FIG. 2A, the optical element 222 is a partially reflective optical element that receives the irradiating amplified light beam 216 and reflects the irradiating amplified light beam 216 toward the optical element 224 and the focusing system 226. The element 224 can be a collection of optical and/or mechanical elements, such as a beam transport system, that receives the irradiating amplified light beam 216 and steers the irradiating amplified light beam 216 as needed toward the focusing system 226. The element 224 also can include a beam expansion system that expands the irradiating amplified light beam 216. Description of an exemplary beam expansion system is found in U.S. Pat. No. 8,173,985, filed Dec. 15, 2009 and titled, “Beam Transport System for Extreme Ultraviolet Light Source,” which is hereby incorporated by reference in its entirety. The focusing system 226 includes a focusing optic that receives the irradiating amplified light beam 216 and focuses the beam 216 to a focus position. The focus position is a location or region within a focal plane 244 in the chamber 240. The focusing optic can be a refractive optic, a reflective optic, or a collection of optical elements that includes both refractive and reflective optical components. The focusing system 226 also can include additional optical components, such as turning mirrors, which can be used to position the focusing optic relative to an amplified light beam that passes through the focusing optic. Referring also to FIGS. 2B and 2C, the chamber 240 receives the target material 246 at the target region 242. FIG. 2B shows a side perspective view of the light source 205, and FIG. 2C shows a cross-sectional plan view of the light source 205 along line 2C-2C. The target material 246 can be a metallic droplet that is included in a stream of target material 248 released from a target material supply apparatus 247. The stream of target material 248 is released from the target material supply apparatus 247 and travels along the “x” direction toward the target location 242. The irradiating amplified light beam 216 strikes the target material 246 and can be reflected to generate the reflected amplified light beam 217 and/or absorbed by the target material 246. The reflected amplified light beam 217 propagates away from the target region 242 in a “−z” direction opposite from the direction in which the irradiating amplified light beam 216 propagates toward the target material 246. The reflected amplified light beam 217 travels through all or part of the steering system 220 and enters the beam positioning system 260. As discussed above, EUV light is produced when the target material 246 is converted into plasma. The target material 246 is more likely to be converted to plasma when the target material 246 is in the optimal position in the beam caustic of the amplified light beam 216. The optimal position in the beam caustic is the position at which the most EUV light is produced. The optimal position can be at two points along the direction of propagation of the amplified light beam. For example, there can be two optimal locations within the beam caustic, one upstream (in the “−z” direction) of a minimal spot position and another downstream (in the “z” direction) of the minimal spot position. In another example, the optical location within the beam caustic can be at the minimal spot position, with the focus position coinciding with the target material 246. Thus, controlling the position of the irradiating amplified light beam 216 to maintain a constant focus position with respect to the target material 246 while the light source 205 is operating can increase EUV light production by keeping the target material 246 in the optimal position. In other words, actively aligning the irradiation amplified light beam 216 relative to the target material 246 can improve performance of the light source 205. Referring again to FIG. 2A, the beam positioning system 260 measures information that indicates the position of the irradiating amplified light beam 216, the focus position, and/or the focal plane 244 and provides the information to the controller 280 through an interface 262. The interface 262 can be any wired or wireless communication mechanism that allows for the exchange of data between the controller 280 and the beam positioning system 260. The controller 280 includes an electronic processor 282 and an electronic storage 284. The controller 280 uses the information that indicates the position of the amplified light beam 216 to generate signals that are provided to actuation systems 227 and/or 228 through an interface 263. The electronic storage 284 can be volatile memory, such as RAM. In some implementations, and the electronic storage 284 can include both non-volatile and volatile portions or components. The processor 282 can be one or more processors suitable for the execution of a computer program such as a general or special purpose microprocessor, and any one or more processors of any kind of digital computer. Generally, a processor receives instructions and data from a read-only memory or a random access memory or both. The electronic processor 282 can be any type of electronic processor and can be more than one electronic processor. The electronic storage 284 stores instructions, perhaps as a computer program, that, when executed, cause the processor 282 to communicate with other components in the beam positioning system 260 and/or the controller 280. The actuation system 227 includes one or more actuators that are coupled to one or more elements of the focusing system 226. The actuators in the actuation system 227 receive signals from the controller 280 and, in response, cause the one or more elements in the focusing system 226 to move and/or change position. As a result of the change to the one or more optical elements in the focusing system 226, the location of the focal plane 244 moves in the “z” direction. For example, the measurements taken by the beam positioning system 260 may indicate that the focal plane 244 does not coincide with the target location 242. In this example, the actuation system 227 can include an actuator that is mechanically coupled to a mount that holds a lens that focuses the irradiating amplified light beam 216 to the focal plane 244. To move the focal plane 244 in the “z” direction, the actuator moves the lens in the “z” direction. The actuation system 227 also can move the focus position in the “x” or “y” direction by adjusting turning mirrors and other optical elements that can be included in the focusing system 226. The actuation system 228 includes one or more actuators that are coupled to one or more elements of the element 224. For example, the actuation system 228 can include an actuator that is mechanically coupled to a mount that holds a fold mirror (not shown). The actuator can move the fold mirror to steer the irradiating amplified light beam 216 in a direction “x” or “y” that is transverse to the propagation direction “z.” By moving and/or repositioning the elements 224 and 226 based on the determined position of the irradiating amplified light beam 216, the location of the irradiating amplified light beam 216 is maintained relative to the location of the target material 246 to increase the amount of EUV light produced by the light source 205. Referring to FIGS. 3A-3C, another example of an imaging system is shown. FIG. 3A shows a top plan view of an exemplary imaging system 300. FIG. 3B shows a side perspective view of the imaging system 300, and FIG. 3C shows a cross-sectional plan view of the imaging system 300 taken along line 3C-3C. The imaging system 300 is similar to the imaging system 200. The imaging system 300 includes a light source 305 and the EUV lithography tool 210. The light source 305 includes a steering system 320 that receives the irradiating amplified light beam 216 from the drive laser system 215. The steering system 320 is similar to the steering system 220, except that the steering system 320 does not include the optical element 222 to direct the reflected amplified light beam 217 to the beam positioning system 260. Instead, the reflected amplified light beam 217 is reflected off of a window 335 of the drive laser system and onto an optical element 340. The optical element 340 directs the reflected amplified light beam 217 to the beam positioning system 260. The optical element 340 can be, for example, a flat mirror or a curved mirror. The window 335 can be a window on a power amplifier that is part of the drive laser system 215. For example, the reflected amplified light beam 217 can reflect off of the window 194 of the amplifier 183 (FIG. 1B). Referring to FIG. 4, a block diagram of an example of the beam positioning system 260 is shown. The beam positioning system 260 receives the reflected amplified light beam 217, separates the reflected amplified light beam 217 into multiple channels, and measures characteristics of the reflected amplified light beam 217 in each channel. The characteristics of the reflected light beam 217 are used to determine the location of the irradiating amplified light beam 216 relative to the target material 246 in multiple dimensions. The first, second, and third channels 415-417 can be paths along which light propagates in free space. In some implementations, the channels 415-417 also can include components that guide and at least partially contain the light that propagates in the channels, such as fiber optics and other waveguides. The beam positioning system 260 includes fold mirrors 405 and partially reflective optical elements 410a and 410b. The partially reflective optical elements 410a and 410b can be, for example, beam splitters or partially reflective mirrors. The fold mirrors 405 steer the reflected amplified light beam 217 through the beam positioning system 260. The partially reflective optical element 410a receives the reflected amplified light beam 217 reflects a portion of the beam 217 into the first channel 415. The partially reflective optical element 410b receives the transmitted portion of the beam 217 and reflects a portion of the light into the second channel 416. The partially reflective optical element 410b transmits the remainder of the reflected amplified light beam 217 into the third channel 417. Thus, a portion of the reflected amplified light beam 217 travels in the first channel 415, the second channel 416, and the third channel 417. The portion of the reflected amplified light beam 217 that travels in the first channel 415 is the beam 411, the portion that travels in the second channel 416 is the beam 412, and the portion that travels in the third channel is the beam 413. The beam positioning system 260 also includes a sensor 420 and a sensor 421. The sensor 420 is positioned to sense the beam 411, and the sensor 421 is positioned to sense the beam 412 and the beam 413. Data from the sensor 420 can be used to produce an image 424 that includes a representation 426 of the beam 411. Data from the sensor 421 can be used to produce an image 425 that includes a representation 428 of the beam 412 and a representation 430 of the beam 413. The location of the focal plane 244 (FIGS. 2A and 2B) and/or focus position relative to the target material 246 can be determined in multiple dimensions by analyzing the shape of the representations 426, 428, and 430 and/or the position of the representations 426, 428, and 430. The sensors 420 and 421 acquire data at different rates, and, thus, provide information about physical effects that occur on different time scales. In the example shown, the sensor 420 has a higher data acquisition rate than the sensor 421. The sensor 420 can have an acquisition rate that is similar to, or the same as, the repetition rate of the drive laser 215. In some implementations, the sensor 420 has an acquisition rate of at least about 50 kHz or a data acquisition rate of about 63 kHz. The high acquisition rate allows the sensor 420 to collect data that can be used to monitor high-frequency system disturbances and occurrences, such as mirror vibrations in the beam transport system 224 or variations in the trajectory of the target material stream 114, that can cause rapid changes in the location of the irradiating amplified light beam 216 in directions that are transverse to the direction of propagation of the irradiating amplified light beam 216. The dimensions that are transverse to the direction of propagation of the irradiating amplified light beam 216 include the “x” and “y” directions shown in FIGS. 2A and 2B. The changes in the location of the irradiating amplified light beam 216 in the transverse direction cause corresponding changes in the location of the reflected amplified light beam 217, and these changes can be measured by the sensor 420. The sensor 421 has a lower data acquisition rate than the sensor 420 and can provide relatively more information than the sensor 420. The sensor 421 can have a data rate of, for example, about 48 Hz. The sensor 421 can be any sensor that is sensitive to the wavelengths included in the reflected amplified light beam 217. For example, the sensor 421 can be a PYROCAM camera available from Ophir-Spiricon, LLC of North Logan, Utah. Although the example shown in FIG. 4 includes a single sensor 421 that produces a the image 425, in other implementations, separate sensors can be used for each of the second channel 416 and the third channel 417, and each of the separate sensors can produce a separate image having a representation of the light that travels in the respective channel. The beam positioning system 260 also includes optical elements in each of the channels 415, 416, and 417. The channel 415 includes an optical element 442 that can include, for example, a lens or other element that focuses the beam 411 onto the sensor 420. Referring also to FIGS. 5A-5C, the sensor 420 in the example of FIG. 4 is a quadrant sensor that includes multiple, separate sensing elements 422a-422d that are arranged in a square array. To measure the position of the beam 411 on the sensor 420, the amount of energy sensed at each of the sensing elements 422a-422d is measured. An example of determining the position of the beam 411 on the sensor is discussed below with respect to FIG. 16. To ensure that the position of the reflected amplified light beam 217 is measured accurately, the diameter of the beam 411 at the sensor 420 is larger than the diameter of any one of the sensing elements 422a-422d but smaller than the diameter of the square array defined by the sensing elements 422a-422d. In this configuration, the beam 411 tends to fall on more than one of the sensing elements 422a-422d of the sensor 420. To make a relatively large diameter beam on the sensor 420, the optical element 432 can be positioned so that the beam 411 is not focused on the sensor 420. In other words, the optical element 432 can be positioned in a defocused state so that the sensor 420 detects the beam 411, but the beam 411 is not focused onto the sensor 420. In some implementations, the optical element 432 can include one or more optical elements that expand the light to make a relatively larger spot on the sensor 420. The beam positioning system 260 also includes the optical element 434 positioned in the channel 416. The optical element 434 is positioned in the channel 416 between the partially reflective optical element 410b and the sensor 421. The optical element 434 receives and transmits the light that is reflected from the optical element 410b so that the location of the focal plane 244 or focus position can be determined in the “z” direction. The optical element 434 can include an astigmatic optical element that modifies the focus of the wavefront and changes the elipticity of the representation 428 when the focal plane 244 moves in the “z” direction. An example of an implementation in which the optical element 434 includes an astigmatic optical element is shown in FIG. 7. In some implementations, the optical element 410b includes a collection of optical elements, none of which are astigmatic, that provide paths of different lengths for the reflected amplified light beam 217 to propagate from the target material 246 to the sensor 421. In these implementations, measuring the size of the beam diameter of the reflected amplified light beam 217 provides an indication of the location of the focal plane 244 and the shape of the focus caustic in the “z” direction. An example of an implementation of the optical element 436 that does not include an astigmatic optical element is shown in FIGS. 12 and 14. The beam positioning system 260 also includes the optical element 436 that is positioned between the optical element 410b and the sensor 421. The optical element 436 receives and directs the beam 413 toward the sensor 421. The light sensed by the sensor 421 is used to form the representation 430. Along with the measurement of the location of the reflected amplified light beam 217 on the sensor 420, the location of the representation 430 provides a second indication of the location of the irradiating amplified light beam 216 relative to the target material 246 in a dimension that is transverse to the direction of propagation of the irradiating amplified light beam 216. As such, the beam positioning system 260 provides multiple measurements of position and/or shape of the reflected amplified light beam 217. The system 260 provides two measurements, one from the sensor 420 that a relatively high data acquisition rate and the other from the sensor 421 that has a lower data acquisition rate, that can be used to locate the irradiating amplified light beam 216 relative to the target material 246 in dimensions that are transverse (“x” or “y”) to the direction of propagation of the irradiating amplified light beam 216. The system 260 also provides measurements that can be used to locate the focal plane 244 or focus position relative to the target material 246 in the direction of propagation of the irradiating amplified light beam 216. The beam positioning system 260 also can include a spectral filter 442 that is removable from the beam path. The spectral filter transmits some wavelengths while blocking others. In some implementations, two different pulsed irradiating amplified light beams are directed toward the target material 246. These two irradiating amplified light beams are referred to as a main pulse and a pre-pulse. The main pulse and the pre-pulse are separated in time, with the pre-pulse being directed toward the target material 246 before the main pulse. The pre-pulse and the main pulse can have different wavelengths. For example, the pre-pulse can have a wavelength of about 1.06 μm and the main pulse can have a wavelength of about 10.6 μm. In cases where the irradiating amplified light beam 216 includes a pre-pulse and a main pulse, the reflected amplified light beam 217 can include reflections of the main pulse and the pre-pulse. When placed to receive the reflected amplified light beam 217, the spectral filter 442 separates the pre-pulse from the main pulse, allowing the beam positioning system 260 to use either or both of the pre-pulse and the main pulse to determine a location of the irradiating amplified light beam 216 relative to the target location 242. In some instances, the pre-pulse can provide a tighter focus spot and more accurate results than the main beam. Referring to FIGS. 5A-5C, examples of the beam 411 on the sensor 420 are shown. The beam 411 travels through the channel 415 to the sensor 420, where the beam 411 forms a spot 505. When the irradiating light beam 216 is aligned with the target material 246, the beam 411 falls in the center of the sensor 420 and equal amounts of energy are sensed by each of the sensing elements 422a-422d. When the irradiating amplified light beam 216 is misaligned relative to the target material 246 in a transverse dimension (“x” or “y” as shown in FIGS. 2A-2C), the spot 505 is a distance from the center of the sensor 420 that corresponds to the misalignment of the irradiating amplified light beam 216. FIGS. 5A-5C show the spot 505 at three different times. In FIGS. 5A and 5C, the spot 505 is off-center, indicating that the irradiating amplified light beam 216 is misaligned in a transverse direction relative to the target location 242. In FIG. 5B, the spot 505 is in the center of the sensor 420, indicating that the irradiating amplified light beam 216 is aligned with the target location in a transverse direction. As discussed above, the variation of the location of the spot 505 on the sensor 420 indicates high-frequency changes in the location of the irradiating amplified light beam 216. Referring to FIG. 6, an example of the difference in the amount of energy on the sensing elements 422a-422d as a function of the transverse distance between the target material 246 and the focus position is shown. FIG. 6 shows the response of the sensor 420 when the target material 246 is moved in the vertical plane (the “y” direction shown in FIG. 2A) relative to the irradiating amplified light beam 216. Referring to FIG. 7, a block diagram of another exemplary beam positioning system is shown. The beam positioning system 700 can be used with the light source 100, 205, or 305 instead of the system 260. The beam positioning system 700 includes astigmatic optics to measure the location of the focus position relative to the target material 246. The beam positioning system 700 includes fold mirrors 705 and partially reflective optics 710a and 710b. The partially reflective optics 710a and 710b can be, for example, beam splitters or partially reflective mirrors. The beam positioning system 700 receives the reflected amplified light beam 217 and divides the beam 217 into three separate channels 715, 716, and 717. The reflected amplified light beam 217 strikes the partially reflective optic 710a and a portion (a beam 711) is reflected into the first channel 715. The first channel 715 is also referred to as fast transverse channel. A fold mirror 705 directs the beam 711 toward the optical element 732, and the optical element 732 directs and/or focuses the beam 711 onto a sensor 720. The optical element 732 is similar to the optical element 432 (FIG. 4), and the sensor 720 is a quadrant sensor 720 similar to the sensor 420 (FIG. 4). The partially reflective optic 710b receives the portion of the return beam 217 that the reflective optic 710a transmits. The portion of the return beam 217 that the reflective optic 710b transmits enters the third channel 717 as beam 713. The third channel 717 is referred to as the “slow transverse channel.” The fold mirrors 705 direct the beam 713 through the third channel 717 to optics 736, which focus and/or direct the beam 713 to the sensor 721. Data collected by the sensor 721 can be used to generate an image 750 that includes a spot 752 that represents the beam 712 and a spot 754 that represents the beam 713. The partially reflective optic 710b reflects a portion into the channel 716 as beam 712. The channel 716 is referred to as the “slow z channel.” The partially reflective optic 710b directs the beam 712 to optical assembly 734, which focus and direct the beam 712 to a sensor 721. The sensor 721 is similar to the sensor 421 (FIG. 4). The beam 712 enters and passes through the components of the optical assembly 734, exits the optical assembly 734 and is sensed by the sensor 421. The beam 712 forms a spot on the sensor 421. The optical assembly 734 includes a flat reflective element 740, a spatial filter 741, an astigmatic optical element 746, and a lens 748. The flat reflective element 740 can be a flat mirror. The astigmatic optical element 746 can be, for example, a cylindrical lens or mirror, a collection of cylindrical lenses and mirrors, or a biconic mirror. The beam 712 enters the optical assembly 734 and is reflected from the flat reflective element 740 into the spatial filter 741. The spatial filter 741 includes a lens 742, a lens 743, and an aperture 744. The aperture 744 defines an opening 745 that is placed at the focal point of the lens 742, and the aperture 744 filters the beam 712 before it reaches the sensor 721. Passing the beam 712 through the opening 745 helps to remove background radiation and scatter from the beam 712. The flat mirror 705 used with the spherical optics 736 allows the position of the focus to be measured in the “x” and or “y” directions more precisely than a channel that includes cylindrical or astigmatic optics. The lens 743 collimates the beam 712 and directs the beam to the astigmatic optical element 746. After passing through the astigmatic optical element 746, the beam 712 passes through the lens 748 and forms a spot on the sensor 721. Because the optical assembly 734 includes an astigmatic element, the ellipticity of the spot changes as the focus position of the irradiating amplified light beam 216 moves in the direction of propagation relative to the target material 246. Referring to FIGS. 8A-8C and 9A-9B, examples of various relative placements of the focal plane 244 and the target material 246 and example images generated by the sensor 721 are show. FIGS. 8A-8C show an example of the focus position moving in the “z” and “y” directions due to, for example, thermal heating and/or motion in optical components in the optical components. FIGS. 9A-9C show exemplary images 750A-750C, respectively, generated from data collected by the sensor 721. In the beam positioning system 700, the beam 712 travels through the channel 716 and is received by the sensor 721. The beam 713 travels through the channel 717 and is received by the sensor 721. The optical components of the channels 716 and 717 are aligned such that the light from the channel 716 falls on the left side of the sensor 721, and the light from the channel 717 falls on the right side of the sensor 721. Thus, the left side of the images 750A-750C shows a representation of the beam 712, and the right side of the images 750A-750C shows a representation of the beam 713. The image 750A of FIG. 9A shows an image produced by the sensor 721 when the sensor 721 monitors a scenario similar to that of FIG. 8A, in which the focal plane 244 coincides with the target material 246. In this instance, there is no displacement between the target material 246 and the focus position in the “z” or “y” directions and the irradiating amplified light beam 216 is aligned with the target material 246. The image 750A indicates the aligned state because the representation 752A of the beam 712 (which passes through the optical assembly 734 and the astigmatic optical element 746) is circular. Additionally, the representation 754A of the beam 713 coincides with the center of the right side of the sensor 721, indicating that the irradiating amplified light beam 216 coincides with the target material 246 in the “y” direction shown in FIG. 8A. The image 750B of FIG. 9B shows an image produced by the sensor 721 when the sensor 721 monitors a scenario similar to that of FIG. 8C. In this instance, the target material 246 is displaced from the focus position in the “z” and “−y” directions. The image 750B indicates this misalignment with the ellipticity of the representation 752B and the location of the representation 754B on the sensor 751. In particular, the horizontal axis of the representation 752B is wider than the vertical axis, indicating that the focal position is displaced in the “−z” direction relative to the target material 246. The representation 754B of the beam 713 has moved to the left compared to the representation 754A, indicating that the target material 246 is displaced in the “−y” direction relative to the target material 246. The image 750C of FIG. 9C shows an image produced by the sensor 721 when the sensor monitors a scenario similar to that of FIG. 9C. In this instance, the target material 246 is behind and below the focus position. The image 750C indicates this misalignment with the ellipticity of the representation 752C and the location of the representation 754C on the sensor 751. In particular, the vertical axis of the representation 752C of the beam 712 is wider than the horizontal axis, indicating that the target material 246 is displaced from the focus position in the “−z” direction. The representation 754C indicates that the target material 246 is displaced in the “y” direction relative to the target material 246. FIG. 10A shows an example of the ellipticity of the representation of the beam 712 as a function of the position of the target material 246 in the “x” direction. The ellipticity is 0 when the focus position of the irradiating amplified light beam 216 coincides with the target material 246. Such a scenario is shown in FIGS. 8A and 9A. The ellipticity is negative (the horizontal axis is greater than the vertical axis) when the focus position forms before reaching the target material 246, as shown in FIGS. 8B and 9B. The ellipticity is positive (the horizontal axis is smaller than the vertical axis) when the focus position forms after the target material 246, as shown in FIGS. 8C and 9C. FIG. 10B shows an example of the centroid position of the representation of the beam 713 as a function of the position of the target material 246 in the “y” direction. When the centroid is to the left of the center of the right side of the sensor 721, the centroid can be considered to have a negative value and the target material 246 is located in the “−y” direction relative to the focus position (FIG. 8B). When the centroid is to the right of the center of the right side of the sensor 721, the target material 246 is located in the “y” direction relative to the focus position (FIG. 8C). FIG. 11 is a block diagram of another exemplary beam positioning system 1100. The beam positioning system 1100 can be used with the light source 205 or 305 instead of the beam positioning system 260 or the beam positioning system 700. The beam positioning system 1100 includes three channels through which the reflected amplified light beam 217 travels, and the beam positioning system 1100 provides data that is used to locate the irradiating amplified light beam 216 in multiple dimensions relative to the target material 246. The beam positioning system 1100 includes one or more astigmatic optical elements in a channel that is used to locate the irradiating amplified light beam 216 in a direction that is parallel to the direction of propagation of the irradiating amplified light beam 216 (the “z” direction shown in FIG. 2B). The beam positioning system 1100 also includes a spectral filter 1142. The spectral filter 1142 is similar to the spectral filter 442 discussed with respect to FIG. 4. The beam positioning system 1100 receives the reflected amplified light beam 217. The reflected amplified light beam 217 strikes a partially reflective optical element 1110a, and a portion of the reflected amplified light beam 217 is reflected into a channel 1115. The portion of the reflected amplified light beam 217 that is reflected into the channel 1115 is the beam 1111. The beam 1111 passes through optics 1132 to the sensor 1120. The optics 1132 can be similar to the optical element 432 (FIG. 4) and the sensor 1120 can be the quadrant detector 420 discussed with respect to FIG. 4. The portion of the reflected amplified light beam 217 that is transmitted by the partially reflective optical element 1110a is divided into beams 1112 and 1113 by a partially reflective optical element 1110b. The beam 1112 travels in the channel 1116, and the beam 1113 travels in the channel 1117. The channel 1116 includes optics 1134, and the beam 1112 passes through the optics 1134 to a sensor 1121. The optical element 1134 can be similar to the optics 434. The channel 1117 includes the polarizer 1140, the spectral filter 1142, which is coupled to a filter controller 1144, a flat reflective element 1146, a lens 1148, and an astigmatic optical element 1150. The polarizer 1140 and the spectral filter 1142 can be removed from the channel 1117. When the polarizer 1140 and the spectral filter 1142 are not in the channel 1117, the beam 1113 does not pass through these elements. The spectral filter 1142 can be a spectral filter that transmits light in a first wavelength band and blocks light in a second wavelength band. The first wavelength band can include the wavelengths of the pre-pulse, and the second wavelength band can include the wavelengths of the main pulse. In this example, the spectral filter 1142 transmits the pre-pulse and blocks the main pulse. The spectral filter 1142 can include multiple spectral filters, one that blocks the pre-pulse and transmits the main pulse, and another spectral filter that blocks the main pulse and transmits the pre-pulse. The filter controller 1144 is used to remove the spectral filter 1142 from the channel 1117 and to place the spectral filter 1142 in the channel 1117. In implementations in which the spectral filter 1142 includes more than one filter, the filter controller 1144 allows selection of one of the more than one filter to be placed in the channel 1117. The beam 1113 exits the astigmatic optical element 1150 and is sensed by a sensor 1152. The sensor 1152 and the sensor 1121 have a lower data acquisition rate than the sensor 1120. The sensors 1152 and the sensor 1121 can be PYROCAM cameras available from Ophir-Spiricon, LLC of North Logan, Utah. In some implementations, the beams 1112 and 1113 can be directed to a similar location so that only one sensor (either the sensor 1152 or the sensor 1121) is needed. Referring to FIG. 12, another exemplary optical assembly 1200 for a beam positioning system is shown. The optical assembly 1200 can be used in the beam positioning system 260 as the optical element 434, in the beam positioning system 700 instead of the optical assembly 734, or in the beam positioning system 1100 in channel 1117. The optical assembly 1200 provides information that can be used to determine the position of the focus position relative to the target material 246 in the direction of propagation of the irradiating amplified light beam 216. The optical assembly 1200 does not include astigmatic optical elements. Instead, the optical assembly 1200 employs multiple non-astigmatic optical elements to create a series of optical paths, each having a different length, between the target material 246 and a sensor 1221. The portion of the return beam 217 that travels in each path is imaged onto the sensor 1221. Because the paths have different lengths, the image of a beam that follows a particular path is an image of a cross-section of the irradiating amplified light beam 216 at a particular location along the direction of propagation. By analyzing a series of images of beams that follow different paths, the location of the focus position relative to the target material 246 can be determined and adjusted if needed. The optical assembly 1200 includes a lens 1202 and partially reflective optics 1205a and 1205b. The optical assembly 1200 receives the return beam 217 from the light source 1204 (which can be similar to the light source 205 or 305). For illustration, FIG. 12 shows two instances of the return beam 217 that occur at different times. A return beam 217a is a reflected amplified light beam that arises when the irradiating amplified light beam 216 is focused onto the target location 242. The second return beam shown in FIG. 12 is the beam 217b. The return beam 217b arises when the irradiating amplified light beam 216 comes to a focus before reaching the target material 246. Referring also to FIGS. 13A and 13B, a side view of a light source with the irradiating amplified light beam 216 focused on the target material is illustrated in FIG. 13A. A side view of a light source with the irradiating amplified light beam 216 focused before reaching the target material 246 is shown in FIG. 13B. The beam 217a travels through the lens 1202 and is transmitted and reflected by the partially reflective optical element 1205a. The transmitted portion of the beam 217a forms a spot 1210 on the sensor 1221. The reflected portion of the beam 217a is shown as beam 1218a. The beam 1218a is reflected and transmitted by the reflective optical element 1205b. The portion of the beam 217a reflected by the optical element 1205b forms a spot 1211 on the sensor 1221. The beam 217b travels through the lens 1202 and is transmitted and reflected by the partially reflective optical element 1205a. The transmitted portion of the beam 217b forms a spot 1212 on the sensor 1221. The reflected portion of the beam 217b (beam 1218b) is reflected and transmitted by the reflective optical element 1205b. The portion of the beam 217b reflected by the optical element 1205b forms a spot 1212 on the sensor 1221. As shown in the image 1250, the lens 1202 brings the beam 217a to a focus at the sensor 1221. Thus, the spot 1210 has a small diameter. The beam 1218a follows a longer path to the sensor 1221 and comes to a focus at a point 1225, before reaching the sensor 1221. The beam 1218a begins to diverge after the point 1225 and the spot 1211 has a larger diameter than the spot 1210. The lens 1202 focuses the beam 217b to a point 1226 before the beam 217b reaches the sensor 1221. The beam 217b begins to diverge before reaching the sensor 1221. Thus, the spot 1221 that the beam 217b forms on the sensor has a larger diameter than it would if the beam 217b was in focus at the sensor 1221. The path that the beam 1218b follows to the sensor 1221 is longer and the focal point 1226 occurs further away from the sensor 1221. As such, the spot 1213 formed by the beam 1218b has a larger diameter than the spot 1212. By comparing the diameter of the spots 1212 and 1213, it is determined that the beam 217b is converging, and that the focal plane 244 and focus position of the irradiating amplified light beam 216 occurs before (in the “−z” direction) the target material 246. The focal plane 244 can be adjusted to move toward the target material 246 along the direction of propagation or the target material 246 can be moved toward the location of the focal plane 244. Referring also to FIG. 13C, an example in which the amplified light beam 216 has a focus position after (in the “+z” direction) the target material 246, the reflected amplified light beam 217 is diverging, and the spot 1213 has a larger diameter than the spot 1212. Thus, the focus position of the amplified light beam 216 can be adjusted to move closer to the expected location of the target material 246. In other words, the focus position of the amplified light beam 216 can be moved toward the target location 247 by moving the focus position in the “−z” direction. Referring to FIG. 14, an example of another optical assembly 1400 is shown. The optical assembly 1400 is similar to the optical assembly 1200, except the optical assembly 1400 includes five partially reflective optical elements 1405a-1405e. The optical assembly 1400 can be used in a beam positioning system in place of the optical assembly 1200. The partially reflective optical elements 1405a-1405e each provide a path of a different length from the target material 246 to the sensor 1221 and create corresponding spots 1410-1414 on the sensor 1221. In the example shown in FIG. 14, a lens 1402 focuses a collimated return beam 217, which arises when the focus position of the irradiating amplified light beam 216 coincides with the target material 246, to a spot 1412 on the sensor 1221. Thus, the spot 1410, which is a measure of a different cross-section of the return beam 217 than the spot 1412, has a larger diameter. In this example, the spot 1412 has the smallest diameter of the spots 1410-1414. By comparing the diameters of the spots 1410-1414, the location of the focus position of the amplified light beam 216 relative to the target material 246 (or target location 242) can be determined. For example, if the smallest diameter spot is the spot 1410, the focus of the irradiating amplified light beam 216 can be adjusted to, for example, move toward the target material 246 along the direction of propagation or the target material 246 can be moved toward the location of the focal plane 244 and focus position. If the smallest diameter spot is the spot 1414, the focus of the irradiating amplified light beam 216 can be adjusted to move away from the target material 246. Although the example of FIG. 12 shows two partially reflective optical elements 1205a and 1205b, and the example of FIG. 14 shows five partially reflective topical elements 1205a-1205e, other numbers of reflective optical elements can be used. FIG. 14B shows an example process 1400B for adjusting a focus position of the amplified light beam 216 using a non-astigmatic optical assembly such as the assembly 1200 or 1400. The process 1400B can be performed on data collected with the assembly 1200 or 1400 alone or with the assembly 1200 or 1400 as part of any of the beam positioning systems 260, 700, or 1100. The process 1400B can be performed by the controller 280 and/or by an electronic processor in one or more of the sensors in the beam positioning system. In the discussion below, the process 1400 is discussed with respect to the beam positioning system 260, the assembly 1400, and the sensor 1221. The return beam 217 is interacted with at least one optical element to form a plurality of beams, each beam following a path of a different length to the sensor 1221 and each beam forming a spot 1410-1414, respectively, on the sensor 1221 (1450). Interacting the return beam 217 with at least one optical element can include passing the return beam 217 through the lens 1402 to focus the return beam 217. In other implementations, interacting the return beam 217 with at least one optical element can include reflecting the return beam 217 from a reflective element, such as a curved mirror, that focuses the return beam 217. Interacting the return beam 217 with at least one optical element includes passing the return beam 217 through at least one partially reflective element to form a plurality of beams. Each of the beams follows a path of a different length from the target material 246 and/or the lens 1202 to the sensor 1221 and forms a spot on a different portion of the sensor 1221 (as shown in FIG. 12). For example, as shown in FIG. 12, five reflective elements can be used to divide the return beam 217 into five beams, each following a path of a different length to the sensor 1221. More or fewer reflective elements can be used. The reflective elements can be, for example, beam splitters, partially reflective mirrors, or any other optical element that splits a beam into two or more beams that propagate along different paths. Each of the plurality of beams forms a spot on the sensor 1221. The diameter of the spot varies because of the different path lengths between the lens 1402 and the sensor 1221 for each of the plurality of beams. Because of the varying path lengths to the sensor 1221, the spots 1410-1414 on the sensor 1221 can be considered samples of the cross-section of the beam taken at different planes along the direction of propagation. Comparing the relative sizes of the spots 1410-1414 provides an indication of the location of the focus of the irradiating amplified light beam 216 relative to the target material 246 in the direction of propagation of the irradiating light beam 216. A size of each of the plurality of spots 1410-1414 is determined (1460). The size can be, for example, a diameter of the spot or an area of the spot. The determined sizes are compared (1470). A location of the focus position of the amplified light beam 216 is determined based on the comparison (1480). For example, the sensor 1221, the reflective elements 1405a-1405e, and the lens 1402 can be arranged relative to each other such that if the focus position of the amplified light beam 216 overlaps the target material 246 such that the return beam is collimated when it passes through the lens 1402, the return beam 217 is focused at the spot 1412. In this example, if the spot 1411 is measured as being smaller than the spot 1412, the focus position of the amplified light beam 216 does not overlap the target material 246. For example, the return beam 217 can be converging instead of collimated, which can indicate that the focus position of the amplified light beam 216 should be moved toward the target location 242 in the “+z” direction. Other implementations can have the optical components of the light source 1204 arranged in a different configuration. For example, in other implementations, a converging return beam 217 can indicate that the amplified light beam 216 should be moved in the “−z” direction relative to the target location 242. To position the focus position of the irradiating amplified light beam 216 in the “z” direction (the direction of propagation of the beam 216), one or more actuators in the actuation systems 228 and 227 move mirrors, lenses, and/or mounts within the beam transport system 224 and/or focusing system 226 (FIG. 2A) to steer the irradiating amplified light beam 216 toward the target material 246. In implementations in which the process 1200B is performed completely or partially by or with the controller 280, the location of the focus position can be provided to or calculated by the controller 280, and the controller 280 can produce a signal corresponding to an amount for the components within the transport system 224 and/or focusing system 226 to move or adjust to adjust the location of the focus of the amplified light beam 216. Referring to FIGS. 15A-15C, exemplary images created from a sensor that images two channels of a beam positioning system that includes the optical assembly 1200 are shown. The beam positioning system can be any of the beam positioning systems 260, 700, or 1100, with the optical assembly 1200 being used in channel 316, 716, or 1116, respectively. Images 1505A-1505C show an image of the sensor at three different times as the focus position of the irradiating amplified light beam 216 moves relative to the target material 246. The left side of the images 1505A-1505C shows spots 1210 and 1211. Referring also to FIG. 12, spot 1210 is the spot created when the return beam 217 passes through the lens 1202 before reaching the sensor 1221. Spot 1211 is the spot created with the return beam 217 passes through the lens 1202 and is reflected off of the partially reflective optical elements 1205a and 1205b before reaching the sensor 1221. In the image 1505A, the spot 1210A has a larger diameter than the spot 1211A, indicating that the focus position of the irradiating amplified light beam 216 occurs before reaching the target material 246. In the image 1505B, the spot 1210B has a smaller diameter than the spot 1211B, indicating that the focus position of the irradiating amplified light beam 216 occurs after reaching the target material 246. Thus, an adjustment to the focus position made on the basis of the image 1505A was in the proper direction, but the focus position does not overlap the target material 246. In the image 1505C, the spot 1210C is point-like, indicating that the lens 1202 focuses the beam 217 onto the sensor 1221, and, thus, the irradiating amplified light beam 216 is focused on the target material. The right side of the images 1505A-1505C shows a spot 1520A-1520C that is an image of the portion of the return beam 217 that travels through the channel 317, 717, or 1116. Similar to the right side of the images 905A-905C (FIGS. 9A-9C), the spots 1520A-1520C show the movement of the irradiating amplified light beam 216 relative to the target material 246 in a direction that is transverse to the direction of propagation of the irradiating amplified light beam 216. Image 1505A shows that the irradiating amplified light beam 216 is above the target material 246 in the vertical plane (the “y” direction in FIG. 2A), and image 1505B shows that the irradiating amplified light beam 216 is below the target material 246 in the vertical plane (the “−y” direction in FIG. 2B). At the time represented in the image 1505C, the irradiating amplified light beam 216 overlaps with the target material 246 in the vertical plane. Referring to FIG. 16, an example process 1600 for aligning an irradiating amplified light beam relative to a target material is shown. The process 1600 can be performed on data collected with any of the beam positioning systems 260, 700, or 1100. The process 1600 can be performed by the controller 280 and/or by an electronic processor in one or more of the sensors in the beam positioning system. In the discussion below, the process 1600 is discussed with respect to the beam positioning system 260. First, second, and third measurements of a reflected amplified light beam are accessed (1610). The reflected amplified light beam is a beam that is reflected off of a target material. For example, the reflected amplified light beam can be the return beam 217. The first measurement is obtained from a first sensor, and the second and third measurements are obtained from a second sensor. For example, the first measurement can be obtained from the quadrant detector 420, and the second and third measurements can be obtained from the sensor 421. The first sensor has a higher data acquisition rate than the second sensor. As discussed above, using sensors of different data rates allows the process 1600 to account for changes in the alignment of the irradiating amplified light beam 216 that arise from multiple physical effects, some of which occur on shorter time frames than others. The second and third measurements can be obtained from a single sensor, such as the sensor 421, or the second and third measurements can be obtained from two different sensors. Obtaining the second and third measurements from the same sensor may result in a beam positioning system that is relatively compact and has fewer components. In some implementations, the second and third measurements are obtained from two different sensors, both of which can be identical. Based on the first measurement, a first location of the irradiating amplified light beam 216 relative to the target material is determined (1620). The first location is in a direction that is transverse to the direction of propagation of the irradiating amplified light beam 216. For example, the direction can be the “x” direction or the “y” direction shown in FIG. 2B. Thus, the first location can be a location relative to the target material in the “x” or “y” direction. The first location can be expressed as a value that represents the distance between the irradiating amplified light beam 216 and the target material 246. In some implementations, the distance can be the distance between the focal plane 244 of the irradiating amplified light beam 216 and the target material 246. The distance can be between the irradiating amplified light beam 216 and the target location 242 (a location that is expected to receive the target material). The distance can be between the focus position of the amplified light beam 216 and the target location 242 or the target material. In implementations in which the first sensor is the quadrant detector, the first location can be determined from the location of the spot 411 on the sensor 420. For example, if the spot 411 is on the left side of the sensor 420, the target material 246 is displaced from the focus position in the “y” direction. To determine the position of the spot 505 on the sensor 420, the energy sensed by each of the sensing elements 422a-422d is measured and compared. When each of the sensing elements 422a-422d receives the same amount of energy from the beam 411, the spot 505 is in the center of the sensor 420 and the irradiating amplified light beam 216 is aligned with the target material 246 in the transverse direction. To determine the offset of the spot 505 from the center of the sensor 420, the energy at each sensing element 422a-422d is different. The vertical offset of the spot 505 from the center can be determined by subtracting the sum of the energy from the sensing elements 422c and 422d on the bottom portion of the sensor 420 from the sum of the energy from the sensing elements 422a and 422b on the top portion of the sensor 420. A negative value indicates that the center of the spot 505 is below the center of the sensor 420 and a positive value indicates that the center of the spot 505 is above the center of the sensor 420. The horizontal offset of the spot 505 is determined by subtracting the sum of the energy on the left side of the sensor 420 from the sum of the energy on the right side of the sensor 420. A negative value indicates that the center of the spot 505 is to the right of the center of the sensor 420 and a positive value indicates that the center of the spot 505 is to the left of the center of the sensor 420. Based on the amount of offset, the controller 280 determines a corresponding amount to move one or more actuators in the actuation system 227 and/or the actuation system 228 to adjust the irradiating amplified light beam 216 to be aligned with the target material 246. The signal difference between the sensing elements 422a-422d can be determined from a single frame of data from the sensor 420. In some implementations, multiple frames of data from the sensor 420 are averaged before determining the transverse distance between the droplet and the irradiating amplified light beam 216. For example, 16 or 250 frames of data from the sensor 420 can be averaged before determining the signal difference. Further, the signal difference can be divided by the total signal on all of the sensing elements 422a-422d. Based on the second measurement, a second location of the irradiating amplified light beam 216 relative to the target material is determined (1630). The second location is also in a direction that is transverse to the direction of propagation of the irradiating amplified light beam 216 (the “x” or “y” directions of FIG. 2A). The second location can be in a direction that is perpendicular to the first location. For example, if the first location is a distance between the target material 246 and the irradiating amplified light beam 216 in the “x” direction, the second location can be a distance between the target material 246 and the irradiating amplified light beam 216 in the “y” direction. The second location is determined from data that is taken with a sensor, such as the sensor 421, that has a lower data acquisition rate than the first sensor. Thus, even in implementations in which the second location and the first location are along the same direction, the second and first locations provide different information. For example, tracking the irradiating amplified light beam 216 location over time in a particular direction with data from the first sensor shows high-frequency variations in the position of the irradiating amplified light beam 216 while tracking the variations in position of the irradiating amplified light beam 216 over time in that direction with data from the second sensor shows low-frequency variations in the forward beam. Based on the third measurement, a location of the focus position of the amplified light beam relative to the target material is determined (1640). The location of the focus position of the irradiating amplified light beam 216 is determined in a direction that is parallel to the direction of propagation of the forward beam (the “z” direction in FIG. 2A). The location of the focus position relative to the target material 246 can be determined by measuring the ellipticity of a spot formed by light that passes through an astigmatic optical element (FIGS. 7 and 11) or by using a series of non-astigmatic optical elements to create spots that each show a different cross-section of the irradiating amplified light beam 216 (FIGS. 12 and 14). The irradiating amplified light beam is repositioned relative to the target material based on one or more of the first location, the second location, or the location of the focal plane to align the irradiating amplified light beam relative to the target material (1650). To align the irradiating amplified light beam 216 in the “x” or “y” direction, one or more actuators in the actuation systems 228 and 227 move mirrors, lenses, and/or mounts within the beam transport system 224 and/or focusing system 226 (FIG. 2A) to steer the irradiating amplified light beam 216 toward the target material 246. In implementations that use a pulsed forward beam, the irradiating amplified light beam 216 can alternatively or additionally be aligned in the “x” direction by delaying or advancing the pulse by a time that corresponds to the distance between the pulse and the target material in the “x” direction. To align the focal plane 244 or focus position of the beam 216 along the “z” direction, one or more actuators in the actuation system 227 moves a lens in the focusing system 227, resulting in repositioning of the focal plane 244 and focus position. Other implementations are within the scope of the following claims.
051484650
claims
1. An X-ray examination apparatus comprising an X-ray source and a detector for detecting a beam of X-rays transmitted by the X-ray source for forming an X-ray image, an X-ray absorbing filter between the X-ray source and the X-ray detector, said filter including a liquid reservoir adapted for placement in the X-ray beam and having a first and second wall, at least the first wall being flexible, said first and second walls for receiving said liquid reservoir therebetween and having a wall spacing which decreases from the reservoir center to the reservoir edges, said wall spacing being dimensioned to reduce vignetting in response to irradiation of the detector by a uniform X-ray beam. 2. An X-ray examination apparatus as claimed in claim 1, wherein the second wall is rigid. 3. An X-ray examination apparatus as claimed in claim 2, the first wall of the filter is connected at said edges to the second wall via an anular clamping member. 4. An X-ray examination apparatus as claimed in claim 1 wherein both walls of the filter are flexible, the first wall has a curvature opposite to the curvature of the second wall. 5. An X-ray examination apparatus as claimed in claim 4, wherein the walls of the filter are clamped between two anular clamping members in a liquid-tight manner. 6. An X-ray examination apparatus as claimed in claim 1 including a pump connected to the filter. 7. An X-ray examination apparatus as claimed in claim 5 including a pump connected to the filter. 8. A filter for use with an X-ray examination apparatus comprising an X-ray source and an X-ray detector for detecting a beam of X-rays transmitted by the X-ray source for forming an image, said image exhibiting vignetting, said filter comprising: a first wall; a second wall, at least one of said walls being flexible; and means for securing the filter in said beam and the walls to each other at their edges to form a liquid receiving cavity therebetween, said walls being so spaced and so dimensioned such that said cavity has a spacing which decreases from the cavity central region towards said edges in a manner to reduce said vignetting. a first wall; a second wall, at least one of said walls being flexible; and means for securing the filter in said beam and the walls to each other at their edges to form a liquid receiving cavity therebetween, said walls being spaced so that said cavity has a spacing which decreases from the cavity central region towards said edges in a manner to reduce said vignetting, both said walls being flexible. 9. The filter of claim 8 wherein the at least one wall curves from said central region towards said edges. 10. The filter of claim 8 wherein both walls are flexible. 11. The filter of claim 8 further including pump means coupled to said cavity for pumping liquid to and from said cavity for adjusting the spacing of said walls. 12. The filter of claim 10 wherein the walls are mirror images of each other curving from the central region to their edges. 13. The filter of claim 8 including filter adjust means coupled to said cavity for adjusting the spacing of said walls and thus the size of said cavity to thereby adjust the amount of vignetting that is reduced. 14. The filter of claim 13 wherein the filter adjust means includes means for adjusting the pressure of said liquid in said cavity to thereby flex said at least one wall. 15. The filter of claim 8 wherein the spacing between the walls at said central region decreases to the spacing of the walls at said edges according to a quadratic relationship. 16. An X-ray examination apparatus comprising an X-ray source and a detector for detecting a beam of X-rays transmitted by the X-ray source for forming an X-ray image, an X-ray absorbing filter between the X-ray source and the X-ray detector, said filter including a liquid reservoir adapted for placement in the X-ray beam and having a first and second wall, at least the first wall being flexible, said first and second walls for receiving said liquid reservoir therebetween and having a wall spacing which decreases from the reservoir center to the reservoir edges to reduce vignetting in response to irradiation of the detector by a uniform X-ray beam, both walls of the filter being flexible, the first wall having a curvature opposite to the curvature of the second wall. 17. A filter for use with an X-ray examination apparatus comprising an X-ray source and an X-ray detector for detecting a beam of X-rays transmitted by the X-ray source for forming an image, said image exhibiting vignetting, said filter comprising:
055047882
claims
1. A device for inspecting the support plates and tube sheet of a nuclear steam generator comprising: a first boom coupled by a rotatable connector to a second boom, said first and second boom and said rotatable connector being insertable into an access port of a steam generator and into a lane separating two rows of tube members, said rotatable connector being adapted to upright said second boom within said lane; and a maneuverable CCD camera attached to said second boom for inspecting the tube members and support plates within said lane when said second boom is uprighted. a first boom coupled by a connector to a second boom, said first and second boom and said rotatable connector being insertable through an access port of a steam generator and into a lane separating two rows of tube members, said tube members being supported by at least one support plate, said connector being adapted to upright said second boom to a position adjacent to said tube members and said at least one support plates, said second boom having a CCD camera or spray nozzle attached thereto such that when said second boom and said camera or cleaning means are uprighted adjacent to said support plate and said tube members, said at least one support plate and said tube sheet members can be examined with said camera or sprayed with said nozzle cleaning means. inserting a boom through an access port of said steam generator and within a lane separating two rows of tube members, said boom having a maneuverable CCD camera attached thereto; and uprighting said boom within said lane, separating said rows of tube members, such that said CCD camera is held adjacent to said tube members and support plates and can be inspected by said camera within said lane. a first boom coupled by a rotatable connector to a second boom, said first and second boom and said rotatable connector being insertable into an access port of a steam generator and into a lane separating two rows of tube members, said rotatable connector being adapted to upright said second boom within said lane; and video camera means attached to said boom means for inspecting the tube members and support plates within said lane when said second boom is uprighted. 2. A device for inspecting or cleaning the tube sheets and support plates of a nuclear steam generator comprising: 3. A method for inspecting the tube sheet of a steam generator comprising the following steps: 4. A device for cleaning the support plates and tube sheet of a nuclear steam generator comprising:
description
The present invention relates generally to diagnostic imaging and, more particularly, to a method and apparatus of dynamically filtering radiation emitted toward a subject during radiographic imaging. Typically, in radiographic imaging systems, an x-ray source emits x-rays toward a subject or object, such as a patient or a piece of luggage. Hereinafter, the terms “subject” and “object” may be interchangeably used to describe anything capable of being imaged. The beam, after being attenuated by the subject, impinges upon an array of radiation detectors. The intensity of the attenuated beam radiation received at the detector array is typically dependent upon the attenuation of the x-rays. Each detector element of the detector array produces a separate electrical signal indicative of the attenuated beam received by each detector element. The electrical signals are transmitted to a data processing system for analysis which ultimately produces an image. In computed tomography (CT) imaging systems, the x-ray source and the detector array are rotated about a gantry within an imaging plane and around the subject. X-ray sources typically include x-ray tubes, which emit the x-rays as a beam at a focal point. X-ray detectors typically include a collimator for collimating x-ray beams received at the detector, a scintillator for converting x-rays to light energy adjacent the collimator, and a photodiode for receiving the light energy from an adjacent scintillator and producing electrical signals therefrom. Typically, each scintillator of a scintillator array converts x-rays to light energy. Each photodiode detects the light energy and generates a corresponding electrical signal. The outputs of the photodiodes are then transmitted to the data processing system for image reconstruction. There is increasingly a need to reduce radiation dosage projected toward a subject during an imaging session. It is generally well known that significant dose reduction may be achieved by using a “bowtie” filter to shape the intensity profile of an x-ray beam. Surface dose reductions maybe as much as 50% using a bowtie filter Generally, the bowtie filter is constructed such that the profile used to filter the radiation projected toward the subject is constant through the imaging process. That is, the bowtie filter is constructed to filter radiation emitted toward a subject such that the sum of the radiation path length through the filter plus the path length through the subject is roughly constant One drawback of this construction is that a subject's shape is not constant For example, a patient's shape changes as a function of view angle as the x-ray tube and detector rotate around the patient and because the filter has a constant filtering profile at different points in the imaging process, portions of the subject may be over-radiated whereas at other times, other portions will be under-radiated As a result a choice must be made between having under-radiated portions during the imaging process or increasing the dosage such that a minimum radiation dosage throughout the imaging session is maintained with some portion being over-radiated. A number of developments have been made to address this situation. The CT scanner may be equipped with multiple beam shaping filters. Multiple filters, however, increases the manufacturing costs of the CT scanner as well as requiring additional controls to insure the appropriate filters are being used during the scan session. Another approach is to dynamically control the amount of x-ray dosage emitted by the projection source as a function of view angle. This approach, however, requires significant and complex controls to alter the amount of radiation projected toward the subject during the scan that significantly increases the costs of the CT system nor takes into account shape of the pre-patient filter. Therefore, it would be desirable to design a pre-subject collimator having a filter that rotates and effectively changes its filtering and been shaping properties as a function of view angle as the x-ray tube and detector rotate around a subject. The present invention is a directed method and apparatus for CT data acquisition using a rotatable pre-subject filter having multiple filtering profiles to dynamically control radiation exposure to a subject that overcomes the aforementioned drawbacks. Specifically, a filter is disclosed that is shaped to have varying filtering profiles. The filter is designed to rotate about its lengthwise axis such that the filtering profile used may be varied as a function of the view angle of the projection source. Therefore, in accordance with one aspect of the present invention, a beam shaping filter for a CT imaging system includes a first end and a second end, each of which has a circular cross-section. The filter further includes a body formed of radiation beam shaping material that extends between the first end and the second end. A pair of depressions is formed in the body orthogonal from one another such that a first body diameter and a second body diameter are defined. In accordance with another aspect of the present invention, a CT system includes a rotatable gantry having an opening to receive a subject to be scanned as well as a rotatable high frequency electromagnetic energy projection source configured to project a high frequency electromagnetic energy beam toward the subject at at least two view angles. The rotatable pre-subject collimator filter is also provided and has a static shape that defines at least two filtering profiles such that at a first view angle a first filtering profile filters the high frequency electromagnetic energy beam and at a second view angle a second filtering profile filters the high frequency electromagnetic energy beam. The CT system further includes a scintillator array having a plurality of scintillator cells wherein each cell is configured to detect high frequency electromagnetic energy passing through the subject as well as a photodiode array optically coupled to the scintillator array and comprising a plurality of photodiodes configured to detect light output from a corresponding scintillator cell. A data acquisition system is provided and connected to the photodiode array and configured to receive photodiode outputs which are received by an image reconstructor that is configured to reconstruct an image of the subject. According to another aspect of the present invention, a method of reducing x-ray exposure during CT data acquisition includes the steps of positioning a subject to be scanned in a scanning bay and projecting x-rays toward the subject from an x-ray source at a first view angle. The method also includes positioning a first profile of a multi-profile filter between x-ray source and the subject when the x-ray source is projecting x-rays at the first view angle. The method further includes the steps of spinning an x-ray source to a second view angle and projecting x-rays toward the subject from the x-ray source at the second view angle. A second profile of the multi-profile filter is then positioned between an x-ray source and the subject when the x-ray source is projecting x-rays at the second view angle. Various other features, objects and advantages of the present invention will be made apparent from the following detailed description and the drawings. The present invention is described with respect to a radiographic imaging system such as the CT system shown in FIGS. 1–2. However, it will be appreciated by those skilled in the art that the present invention is equally applicable for use with other radiographic imaging systems utilizing a rotating projection source and a pre-subject collimator. Moreover, the present invention will be described with respect to the emission and detection of x-rays. However, one skilled in the art will further appreciate, that the present invention is equally applicable for the emission and detection of other high frequency electromagnetic energy. Referring to FIGS. 1 and 2, a “third generation” CT imaging system 10 is shown as including a gantry 12. The present invention, however, is applicable with other CT systems. Gantry 12 has an x-ray source 14 that projects a beam of x-rays 16 through filter 15 toward a detector array 18 on the opposite side of the gantry 12. Detector array 18 is formed by a plurality of detectors 20 which together sense the projected x-rays that pass through a medical patient 22. Each detector 20 produces an electrical signal that represents the intensity of an impinging x-ray beam and hence the attenuated beam as it passes through the patient 22. During a scan to acquire x-ray projection data, gantry 12 and the components mounted thereon rotate about a center of rotation 24. Rotation of gantry 12 and the operation of x-ray source 14 are governed by a control mechanism 26 of CT system 10. Control mechanism 26 includes an x-ray controller 28 that provides power and timing signals to an x-ray source 14, a gantry motor controller 30 that controls the rotational speed and position of gantry 12, and filter controller 33 that controls filter 15. As will be described in greater detail below, filter 15 is rotated or caused to spin about a lengthwise axis of rotation by motor assembly 17. A data acquisition system (DAS) 32 in control mechanism 26 samples analog data from detectors 20 and converts the data to digital signals for subsequent processing. An image reconstructor 34 receives sampled and digitized x-ray data from DAS 32 and performs high speed reconstruction. The reconstructed image is applied as an input to a computer 36 which stores the image in a mass storage device 38. Computer 36 also receives commands and scanning parameters from an operator via console 40 that has a keyboard. An associated cathode ray tube display 42 allows the operator to observe the reconstructed image and other data from computer 36. The operator supplied commands and parameters are used by computer 36 to provide control signals and information to DAS 32, x-ray controller 28 and gantry motor controller 30. In addition, computer 36 operates a table motor controller 44 which controls a motorized table 46 to position patient 22 and gantry 12. Particularly, table 46 moves portions of patient 22 through a gantry opening 48. As shown in FIGS. 3 and 4, detector array 18 includes a plurality of scintillators 57 forming a scintillator array 56. A collimator (not shown) is positioned above scintillator array 56 to collimate x-ray beams 16 before such beams impinge upon scintillator array 56. In one embodiment, shown in FIG. 3, detector array 18 includes 57 detectors 20, each detector 20 having an array size of 16×16. As a result, array 18 has 16 rows and 912 columns (16×57 detectors) which allows 16 simultaneous slices of data to be collected with each rotation of gantry 12. Switch arrays 80 and 82, FIG. 4, are multi-dimensional semiconductor arrays coupled between scintillator array 56 and DAS 32. Switch arrays 80 and 82 include a plurality of field effect transistors (FET) (not shown) arranged as multi-dimensional array. The FET array includes a number of electrical leads connected to each of the respective photodiodes 60 and a number of output leads electrically connected to DAS 32 via a flexible electrical interface 84. Particularly, about one-half of photodiode outputs are electrically connected to switch 80 with the other one-half of photodiode outputs electrically connected to switch 82. Additionally, a reflector layer (not shown) may be interposed between each scintillator 57 to reduce light scattering from adjacent scintillators. Each detector 20 is secured to a detector frame 77, FIG. 3, by mounting brackets 79. Switch arrays 80 and 82 further include a decoder (not shown) that enables, disables, or combines photodiode outputs in accordance with a desired number of slices and slice resolutions for each slice. Decoder, in one embodiment, is a decoder chip or a FET controller as known in the art. Decoder includes a plurality of output and control lines coupled to switch arrays 80 and, 82 and DAS 32. In one embodiment defined as a 16 slice mode, decoder enables switch arrays 80 and 82 so that all rows of the photodiode array 52 are activated, resulting in 16 simultaneous slices of data for processing by DAS 32. Of course, many other slice combinations are possible. For example, decoder may also select from other slice modes, including one, two, and four-slice modes. As shown in FIG. 5, by transmitting the appropriate decoder instructions, switch arrays 80 and 82 can be configured in the four-slice mode so that the data is collected from four slices of one or more rows of photodiode array 52. Depending upon the specific configuration of switch arrays 80 and 82, various combinations of photodiodes 60 can be enabled, disabled, or combined so that the slice thickness may consist of one, two, three, or four rows of scintillator array elements 57. Additional examples include, a single slice mode including one slice with slices ranging from 1.25 mm thick to 20 mm thick, and a two slice mode including two slices with slices ranging from 1.25 mm thick to 10 mm thick. Additional modes beyond those described are contemplated. Referring now to FIG. 6, a statically shaped pre-patient collimator filter 15 is shown in perspective as being connectable to a motor or other drive assembly 17 via a shaft 86 that is operationally connected to the filter 15 via end cap or plate 88. End cap 88 is designed to be adhesively connected to one end 90 of filter 15. A similarly shaped end cap or plate 92 is connected to an opposite end 94 of the filter. The operational significance of the shaft and end caps will be described in greater detail with respect to FIG. 10. The shape of the filter is static or constant, but the shape defines multiple filtering profiles, as hereinafter described. Still referring to FIG. 6, filter 15 has a general bowtie or hourglass shape. In this regard, each of the ends 90, 94 of filter 15 has a diameter that is greater than the diameter of the body 96 that extends between each of the ends. Body 96, however, is uniquely designed to have a depression defined by surface 98 as well as a second depression defined by surface 100. In the embodiment illustrated in FIG. 6, each of the depressions is positioned orthogonally from one another. The orthogonal placement of the depressions illustrates only one example of how the body 96 of filter 15 may be constructed. As such, the depressions may be formed in the body 96 of filter 15 so as to be more acutely or obtusely defined with respect to one another. Further, the body may be constructed to have multiple depressions including more than two depressions. As will be discussed in greater detail below, the depressions define the shape of filter 15 such that a multitude of filtering profiles is possible. Referring now to FIG. 7, a cross-sectional view of filter 15 illustrating a first filtering profile is shown. It is readily apparent in FIG. 7 that the body 96 of filter 15 extending between ends 90 and 94 includes a relatively steep depression defined by surface 100. In this regard, a minimum body diameter is formed at the base 102 of the depression. The filtering profile of filter 15 that results from the orientation illustrated in FIG. 7 is particularly well suited for pre-patient filtering of an x-ray beam that is being projected toward the patient from a projection source generally positioned at a side of the patient, i.e. when the patient has the thickest cross-section. That is, since a patient generally has a thicker cross-section in the x-direction than in the y-direction, when lying on a patient table, filter 15 is designed such that the filtering profile illustrated in FIG. 7 is presented when x-rays are projected toward a thicker patient cross-section. Simply, filter 15 is designed such that x-rays received at surfaces 104 of filter 15 undergo greater filtering than the x-rays received at surfaces 100, 102. This variation in filtering power throughout the filter ensures that the sum of the x-ray beam lengths is uniform at the x-ray detector array interface. Referring now to FIG. 8, another cross-sectional view of filter 15 is shown that is orthogonal to the cross-sectional view illustrated in FIG. 7. In this regard, the filtering profile of filter 15 is defined by surface 98. Similar to the filtering profile illustrated in FIG. 7, the filtering profile of FIG. 8 also has a relative bowtie or hourglass shape, but the depression formed by surface 98 is less steep than the depression illustrated in FIG. 7. In this regard, the filtering profile illustrated in FIG. 8 is particularly well suited for presentation in the x-ray fan beam path when the x-ray source is projecting x-rays toward a patient from a position generally above the patient, i.e. when the patient has the thinnest cross-section. Because patients are generally flat and thin in the x-direction when positioned face-up on a patient table, the filtering profile illustrated in FIG. 8 is also relatively flat. That is, filter 15 is designed to provide less varying filtering across its width but less filtering of the fan beam when the filtering profile illustrated in FIG. 8 is presented in the x-ray beam path. Referring now to FIG. 9, a schematic representation of the patient collimator filter 15 is shown connected to a motor or other drive assembly 17. As indicated previously, filter 15 is positioned within the gantry of the CT system and is designed to rotate with the gantry around the patient or other scan subject during data acquisition. However, filter 15 is also constructed to spin or rotate about its lengthwise axis generally referenced 106 such that more than one filtering profile, such as those illustrated in FIGS. 7 and 8, may be presented in the x-ray beam path as the filter rotates around the patient. Accordingly, motor 17 is connected to an end cap or plate 88 that is affixed to an end of filter 15. On an opposite end, plate 92 is connected to the filter and also has a shaft 108 that is connected to a bearing assembly 110. Motor 17 is designed to rotate shaft 86 in conjunction with a bearing assembly 110 so that filter 15 is caused to spin or rotate about lengthwise axis 106 as a function of view angle of the x-ray projection source. In this regard, motor 17 may be controlled by a filter controller 33, FIG. 2, such that a steeper filtering profile, FIG. 7, is presented when the x-ray projection source is at a side of the patient. The motor may then cause filter 15 to spin or rotate about its lengthwise axis 106 such that the filtering profile illustrated in FIG. 8 is presented when the x-ray projection source is projecting x-rays from a position above the patient. One skilled in the art would readily appreciate that an encoder or other device may be incorporated with the motor such that the rotation of the gantry and the spinning of filter 15 may be synchronized. Motor 17 may also be controlled such that the filter 15 is caused to rotate or spin along its lengthwise axis uniquely for each scan session. That is, a pre-scan may be undertaken whereupon a profile of the patient is acquired and used to input data into a computer program or algorithm to control motor 17 such that the filtering profiles used throughout the imaging session are presented according to the particular contour of the particular patient undergoing the imaging session as opposed to a general control algorithm, or synchronized with table translation. Additionally, the motor may incrementally spin or rotate the filter about its lengthwise axis or provide a timed and smooth continuous rotation as a function of view angle. Referring now to FIG. 11, package/baggage inspection system 200 includes a rotatable gantry 202 having an opening 204 therein through which packages or pieces of baggage may pass. The rotatable gantry 202 houses a high frequency electromagnetic energy source 206, a pre-subject collimator filter 207, as well as a detector assembly 208. A conveyor system 210 is also provided and includes a conveyor belt 212 supported by structure 214 to automatically and continuously pass packages or baggage pieces 216 through opening 204 to be scanned. Objects 216 are fed through opening 204 by conveyor belt 212, imaging data is then acquired, and the conveyor belt 212 removes the packages 216 from opening 204 in a controlled and continuous manner. As a result, postal inspectors, baggage handlers, and other security personnel may non-invasively inspect the contents of packages 216 for explosives, knives, guns, contraband, etc. Therefore, in accordance with one embodiment of the present invention, a beam shaping filter for a CT imaging system includes a first end and a second end, each of which has a circular cross-section. The filter further includes a body formed of radiation beam shaping material that extends between the first end and the second end. A pair of depressions is formed in the body orthogonal from one another such that a first body diameter and a second body diameter are defined. In accordance with another embodiment of the present invention, a CT system includes a rotatable gantry having an opening to receive a subject to be scanned as well as a rotatable high frequency electromagnetic energy projection source configured to project a high frequency electromagnetic energy beam toward the subject at at least two view angles. The rotatable pre-subject collimator filter is also provided and has a static shape that defines at least two filtering profiles such that at a first view angle a first filtering profile filters the high frequency electromagnetic energy beam and at a second view angle a second filtering profile filters the high frequency electromagnetic energy beam. The CT system further includes a scintillator array having a plurality of scintillator cells wherein each cell is configured to detect high frequency electromagnetic energy passing through the subject as well as a photodiode array optically coupled to the scintillator array and comprising a plurality of photodiodes configured to detect light output from a corresponding scintillator cell. A data acquisition system is provided and connected to the photodiode array and configured to receive photodiode outputs which are received by an image reconstructor that is configured to reconstruct an image of the subject. According to another embodiment of the present invention, a method of reducing x-ray exposure during CT data acquisition includes the steps of positioning a subject to be scanned in a scanning bay and projecting x-rays toward the subject from an x-ray source at a first view angle. The method also includes positioning a first profile of a multi-profile filter between x-ray source and the subject when the x-ray source is projecting x-rays at the first view angle. The method further includes the steps of spinning an x-ray source to a second view angle and projecting x-rays toward the subject from the x-ray source at the second view angle. A second profile of the multi-profile filter is then positioned between an x-ray source and the subject when the x-ray source is projecting x-rays at the second view angle. In another embodiment, the present invention includes a pre-subject filter assembly for a CT imaging system having a detector assembly and a high frequency electromagnetic energy projection source configured to rotate about a subject during an imaging session. The filter assembly includes a beam shaping filter having a generally cylindrical shaped body defining multiple filtering profiles. The filter is constructed to rotate about an axis of rotation that extends through its body. A controller is provided to cause rotation of the filter about the axis of rotation during an imaging session to dynamically filter high frequency electromagnetic energy projected toward the subject as a function of view angle. In another embodiment, a CT system includes a rotatable gantry having an opening to receive a subject to be scanned. A moveable high frequency electromagnetic energy projection source projects high frequency electromagnetic energy such as x-rays toward the subject at at least two view angles during an imaging session. A pre-subject collimator includes a filter that is rotatable about itself relative to an axis of rotation extending the filter during the imaging session. The filter includes multiple filtering profiles. As such, the filter moves circumferentially around the subject during the imaging session but also rotates or spins relative to itself during the imaging session such that multiple filtering profiles are usable during the imaging session. The CT system further includes a scintillator array and a photodiode array that rotate synchronously with the filter and x-ray source during the imaging session. The scintillator and photodiode arrays collectively form a detector array that detects x-rays attenuated by the subject during the imaging session and outputs electrical signals indicative of the attenuation. The detector output is then input to a DAS configured to reconstruct an image of the subject. The CT system further includes a computer programmed to rotate the filter about the axis of rotation such that a first view angle a first filtering profile is presented and at a second view angle a second filtering profile is presented. In another embodiment of the present invention, a method of reducing x-ray exposure during CT data acquisition includes positioning a subject to be scanned in a scanning bay and projecting x-rays toward the subject from an x-ray source at a first view angle. A first profile of a multi-profile filter is then positioned between the x-ray source and the subject when the x-ray source is projecting x-rays at the first view angle. The x-ray source is then rotated to a second view angle and projects x-rays toward the subject therefrom. Simultaneously therewith, the multi-profile filter is caused to rotate about an axis of rotation that extends through a length of the filter such that a second profile is positioned between the x-ray source and the subject when the x-ray source is projecting x-rays from the second view angle. The present invention has been described in terms of the preferred embodiment, and it is recognized that equivalents, alternatives, and modifications, aside from those expressly stated, are possible and within the scope of the appending claims.
044735294
abstract
A self-contained and movable device for collecting purge liquids and gases in an installation containing radioactive substances, comprising a detachable and transportable vessel (5) of small dimensions, a closure of the purge outlet (2) which can be operated from outside the vessel (5), a movable unit (7) for drawing off and collecting the purged liquids and gases, and a flexible tube (6) connecting the vessel (5) and the movable drawing-off and collecting unit (7). The invention applies particularly to the purging of the cooling circuit under shut-down conditions of a pressurized water nuclear reactor.
summary
abstract
A method is disclosed for creating a permanent pattern on a substrate. The method includes the steps of providing an array of photon sources, each of which provides a photon beam, providing an array of focusing elements, each of which focuses an associated photon beam from the array of photon sources onto a substrate, and creating a permanent pattern on a substrate using the array of focusing elements to respectively focus associated photon beams on the substrate.
abstract
A testing device (100) for an EUV optical system (200) includes a generating device (10) configured to generate wavelength variable test spectra for the EUV optical system (200) and a sensor unit configured to detect the test spectra generated by the EUV optical system (200).
059303140
summary
BACKGROUND OF THE INVENTION The technical field of this invention is elemental detection and imaging and, in particular, methods and apparatus for the detection of the elemental composition of objects by nuclear interaction analysis. The invention is useful in the detection of contraband concealed within cargo containers, suitcases, parcels or other objects. As used herein, the term "contraband" includes, but is not limited to, explosives, drugs, and alcohol. During the past ten years, the Federal Aviation Administration (FAA) of the US Department of Transportation has funded considerable research into the prevention of illegal transportation of explosives and drugs. One goal of this research is to create a detection system for airports that will screen passengers' luggage for explosives, as well as other contraband. Once this system is implemented, it is likely to be applied to other inspectional purposes, such as the screening of cargo containers at custom stations, ports, etc., as well. The probability of the existence of explosives in a piece of luggage at an airport is approximately 1 in 10 million. To avoid lengthy delays at airport security check points, a practical contraband detection system at an airport requires a high detection speed, e.g. 6-8 seconds per piece of luggage and an acceptable false alarm rate. The false alarm rate can be defined as m/n, where n equals the number of the suitcases that the system determines to contain contraband, and m equals the number of suitcases that, upon inspection, do not in fact contain explosives. A false alarm rate of 10-20% or less is preferable. Similar processing constraints apply to inspection of truck and rail cargo containers at border crossings and other security check points. In both applications, nondestructive detection is required. Damaging effects, such as the activation of the objects under examination, must be minimized. Furthermore, spatial resolution on the order of several centimeters in each dimension is highly desirable. Various techniques are known for detecting contraband. Metal detectors are routinely used in airports to screen carry-on luggage. While metal detectors are useful in detecting metal weapons they are not imaging systems and most often can not distinguish between weapons and other metallic objects. X-ray imaging systems provide a rudimentary view of objects within a suitcase or container, but suffer from a general inability to image low atomic weight objects (e.g., plastic weapons, explosives and drugs). Moreover, images from conventional X-ray detectors can be stymied by materials, such as metal foils or coatings, that absorb the relatively low energy X-ray radiation and thereby shield the contents from view. Further, X-ray systems determine density or average atomic number but not the existence of explosives, per se. Ideally, a method of detecting contraband should be capable of distinguishing illegal materials from the typical objects found in luggage or cargo based on distinctive characteristics of the contraband. Thus, elemental analysis of the object undergoing inspection is an important goal for state-of-the-art inspection systems. Typically, explosives have a high nitrogen content, a low carbon-to-oxygen ratio, and high nitrogen and oxygen densities. Drugs, such as cocaine and heroin, have been shown to have high carbon-to-oxygen ratios, high carbon and chlorine contents, and little nitrogen. Included within nuclear interaction analysis are nuclear emission detection techniques. Nuclear emission detection techniques are based on the realization that characteristic elemental composition data can be obtained from the induced emission of radiation, e.g. gamma-rays, or particles, from the nuclei of the atoms of an object undergoing inspection. According to these techniques a source of radiation, e.g. a particle beam, such as a neutron beam, or a source of hard X-rays or gamma rays, bombards an object under investigation, triggering the nuclei of the object to emit characteristic radiation. In these techniques, referred to generally as "nuclear emission" analyses, different contraband molecules are identified based on their unique nuclear emissions in response to such high energy interrogation. The related term nuclear fluorescence is most commonly used to describe the emission of X-ray radiation by nuclei in response to excitation by X-rays. However, for the purposes of this application nuclear fluorescence will indicate the emission of photons by nuclei in response to excitation by radiation (electromagnetic or particulate). The emissions are analyzed for characteristic energy profiles that indicate the elemental structures present in the object. Advantageously, nuclear methods can detect the general properties of contraband by identifying and localizing (imaging) the chemical constituents of an object under investigation. One technique of particular interest at present is known as "fast neutron" analysis. In this approach, fast neutrons (e.g., having energies greater than about 1 MeV, preferably greater than a few MeV) are generated and used to interrogate the object undergoing inspection. The neutrons strike the nuclei of the object and induce gamma ray emissions. Fast neutrons are used because they have high penetration capability and large activation cross-sections with elements of interest, e.g. carbon, nitrogen, and oxygen. Simple neutron spectroscopy systems merely analyze the spectrum of radiation induced by fast neutrons to detect characteristic emissions. Unfortunately, such data are often insufficient for detection of contraband when the volume of the object is large because the telltale signatures of contraband will be scrambled with the emissions from all the other contents of the object. Considerable research has been directed towards the development of position-sensitive detection systems for fast neutron and other nuclear emission analyses. Radiographic techniques can be used to construct images. By employing a two-dimensional array of detectors (or a scanning one-dimensional array) a two-dimensional distribution of neutron interaction cross-sections of the object under examination can be obtained. For greater spatial resolution, tomographic approaches can be employed (e.g., using multiple projections from orthogonal arrays of detectors) to construct a three-dimensional image of the emissions. In another approach to acquiring three dimensional data, pulsed neutron beams have been proposed for use with detector arrays, whereby the timing of the detected emissions can provide a degree of depth resolution. All of the known techniques for nuclear emission detection suffer from one or more deficiencies which make them unattractive for large scale implementation. The spatial resolution of such systems is often compromised by the need to minimize the dose to each object, the limited neutron source strength, and the desire to maintain rapid throughput of objects. Present techniques require strong sources of interrogating radiation. These sources are generally expensive and unreliable. With respect to the requirement of rapid throughput, multiple projection arrays and synchronous timing of such arrays (or pulsed neutron beams) add to the computational overhead and likewise limit throughput. Moreover scanning systems that require moving parts often introduce artifacts that degrade the spatial resolution of the system. There exists a need for better methods and systems for remote inspection of objects, in general, and for detection of contraband in containers, in particular. A simplified remote inspection system that can provide practical spatial resolution while making efficient use of an interrogating radiation source would satisfy a long-felt need in the art. SUMMARY OF THE INVENTION Methods and apparatus for detection of the elemental composition of objects by nuclear interaction analysis are disclosed employing coded aperture detection systems. Coded aperture systems provide a simplified apparatus for rapid spatial resolution of radiation produced as a result of nuclear interrogation given a relatively weak source of interrogating radiation. In one aspect of the invention, an apparatus is disclosed for analyzing radiation emitted by an object. The apparatus can include: 1) a radiation detector array for detecting at least a portion of the radiation emitted by the object in response to nuclear interrogation and for producing detection signals responsive to the radiation; 2) a coded aperture having a predetermined configuration disposed between the detector array and the object such that the emitted radiation is detected by the detector array after passage through the coded aperture; and 3) a data processor for characterizing the object based upon the detection signals from the detector array and based upon the predetermined configuration of the coded aperture. This invention further provides a method of analyzing radiation emitted by an object in response to nuclear interrogation. The method includes the steps of: 1) disposing a coded aperture in selected proximity to the object; 2) interrogating the nuclei of the object with an energy source, the interrogation resulting in emitted radiation; 3) detecting at least a portion of the emitted radiation with a detector that produces detection signals responsive to the emitted radiation, the detector being disposed so that the coded aperture is situated between the detector and the object and such that emissions are detected by the detector after passage through the coded aperture; and 4) processing the detection signals to characterize the object based upon the detected emitted radiation and based upon the predetermined configuration of the coded aperture. In a preferred embodiment, the nuclei of the object under investigation are excited by neutron bombardment. The systems and methods of the present invention are based on the discovery that coded apertures provide a simple mechanism for obtaining position-sensitive nuclear emission data from a two-dimensional array of detectors. Essentially, a coded aperture filters or encodes an image data set in a manner that allows decoding on a unit volume ("voxel") basis, i.e. a three dimensional (tomographic) reconstruction. In one embodiment, the present invention employs a fast neutron beam to bombard the object under examination, and gamma rays emanate because of neutron capture or neutron inelastic scattering with the nuclei of the object. Neutron activation usually designates only a neutron capture (n,.gamma.) reaction, in which a nucleus captures a neutron and emits a gamma ray. For the purposes of this application neutron activation describes any neutron-nucleus interaction that results in the nuclear emission of a gamma-ray. Neutron activation analysis is an analysis technique that quantitatively determines the nuclear elemental densities in the object under neutron bombardment based on the precise measurement of the neutron-induced gamma rays. According to this embodiment, different gamma-ray energy spectra correspond to the nuclei of different nuclear elements in the object; thus, the emitted gamma rays that form the energy spectra are characteristic to the nuclear elements and are called signature gamma rays. By precisely measuring these gamma-ray spectra (energy versus intensity), it is possible to determine the elemental composition of the source. The signature gammaray intensity (i.e. the number of counts) is proportional to the multiplication of the neutron interaction cross-sections with the nuclear elemental density. Typically, only signature gamma rays of high energies (E>1 MeV) are considered. These gamma-rays emanate from the object under examination with very little attenuation. By providing a coded aperture between the object under investigation and the detector array, the imaging system, according to one embodiment of the invention, can use a data processor to substantially correlate particular gamma-ray spectral information with a particular unit volume or voxel based on the predetermined configuration of the coded aperture. Importantly, the sterradian subtended by a coded aperture and an associated detector array is typically large compared to a single collimated detector. Thus, coded aperture systems are superior to collimated detectors in that they utilize a large portion of the radiation emitted by the target object, while concurrently providing image data. As a consequence, the cost of the required neutron source is reduced, and small sealed tube neutron sources developed and produced for the oil exploration industry can be used rather than large expensive accelerators. Thus, a relatively inexpensive and mobile unit can be produced for field use. In sum, the invention improves the sensitivity of detection by a factor of as much as thirty as compared to previous techniques. Further, the increase in sensitivity is accomplished with improved spatial resolution. The coded aperture detection methods of the present invention can take the form of a planar imaging technique and can operate with only one projection; accordingly the system is simple and the detection time is small because no mechanical rotations are involved. The methodology is similar to planar radiography, but the reconstructed images have depth information; thus this technique has tomographic capability. The term "nuclear interrogation" is intended to encompass various techniques for interrogating the nuclei of an object undergoing inspection, including but not limited to techniques using high energy X-rays, gamma rays, neutrons and other high energy particles. The interrogation beams useful in the present invention can be of narrow or broad bandwidths and energy spectra. Moreover, the beams can be focused, collimated or divergent, as well as continuous or pulsed, depending upon the application. As used herein the term "emitted radiation" is intended to encompass gamma rays, photons and high energy particles either induced by the interrogation beam or caused by the scattering thereof. Thus, according to one embodiment of the invention, a coded aperture imaging system can utilize X-rays scattered by the object to image the object. The invention will next be described in connection with certain illustrated embodiments. Although, the illustrations that follow are directed to the application of contraband detection, it should be clear that the invention can be applied to various other remote inspection applications, including, for example, assaying of ore during mining operations, elemental analysis during metallurgy (e.g., steel making) and monitoring manufacturing processes, generally, when the homogeneity or composition of a material must be monitored. Any material having a characteristic radiation signature (e.g. resulting from characteristic relative densities of elements) as a result of inelastic scattering of fast neutrons, can be identified using the apparatus and methods described herein. Moreover, while the systems are largely described in terms of two dimensional coded aperture and detector arrays, the same principles can be applied to construct one-dimensional systems.
051736128
summary
FIELD OF THE INVENTION This invention relates to an X-ray window which will be mounted on an X-ray introducing opening of X-ray detectors; especially to an X-ray window with high transparency for X-rays, high flatness, and high strength. Recently analyzing apparatuses which measure properties of material by detecting X-rays have been obtaining wide scopes of application in various fields of technology. The performance of the analyzing apparatuses utilizing X-rays has been rising to a great extent. BACKGROUND OF THE INVENTION Among such X-ray analyzing apparatuses, the energy dispersive X-ray microspectrometers (EDX) have been applied to analysis of various materials, because they enable us to analyze two-dimensional distribution of elements with high resolution by easy operation. Besides the energy dispersive X-ray microspectrometers, the applications of the X-ray measurement apparatuses, e.g. X-ray telescopes, have been widening. In these X-ray measurement apparatuses, X-ray detectors must be protected from the contamination by open air. Thus, an X-ray transparent window should be settled between an X-ray detector and open air. Especially, if the detector is a semiconductor detector, an X-ray window is indispensable for protecting the semiconductor detector from the contamination. By these reasons, the need for good X-ray windows has been increasing. First, as the properties of the material of good X-ray windows, high transparency for X-rays is required. Glass optics which are properly employed for visible light or ultraviolet light are of no use for X-rays, because the absorption of X-rays of glass is too large. Secondly high strength is required for X-ray windows. An X-ray window must be very thin in order to decrease the absorption of X-rays and visible lights. Thus, such material as is strong enough even in the form of a very thin film is required for the basic material of X-ray windows. Conventional X-ray windows for energy dispersive X-ray microspectrometers have employed beryllium as the material of the film. In addition to EDXs, beryllium windows have been used as the X-ray windows for synchrotron orbital radiation. Beryllium is strong enough even in the form of a thin film. The absorption of X-rays is comparatively small, because the atomic weight of beryllium is small. However, even beryllium windows must be thicker than several tens of microns to ensure the mechanical strength as a window. Such thick beryllium windows exhibit strong absorption for the X-rays scattered from light atoms, e.g. nitrogen atoms. Thus, the kinds of detectable elements are restricted for the X-ray detector with a beryllium window. If an X-ray detector were used without an X-ray window, the X-ray detector would be contaminated in all probability. Therefore, almost all X-ray detectors are unavoidably equipped with beryllium windows at the expense of the sensitivity for light elements. This is the present state of X-ray windows. Diamond has extremely high Young's modulus. Thus, it is believed that a very thin diamond film can keep its own shape because of the high rigidity. Besides, diamond has low absorption coefficient for X-rays. Diamond has been deemed a promising material for X-ray windows. However, the difficulty of processing diamond has been preventing a diamond X-ray window from being put into practice. It is difficult to polish a bulk diamond monocrystal till a thin film. There had been no good method for growing diamond thin film on a non-diamond substrate until late. However, recent developments of the chemical vapor deposition methods have enabled us to grow diamond films or quasi-diamond carbon films on a pertinent substrate. Such a probability to make X-ray windows with a diamond film becomes within our reach. An X-ray window having a diamond film would be able to keep its inherent shape, even if it was thinner than 1 .mu.m, because of the high Young's modulus. Thus, the X-ray windows having a diamond transparent film would enjoy the advantages that the absorption of X-rays by the transparent film would be able to be decreased by thinning the diamond transparent film. However, X-ray windows are generally used under severe environment. In the case of the energy dispersive X-ray microspectrometer, there is a considerable difference of pressure between the front and the back of the X-ray window. The pressure difference makes the X-ray window press inward. In the case of X-ray cosmic telescopes, impulsive acceleration acts on X-ray windows. In these cases, high mechanical strength is required for the X-ray window. A diamond film thinner than a few micrometers cannot satisfy the requirement for strength. On the contrary, a thick diamond film which has sufficient mechanical strength would not be desirable because of the large absorption of X-rays. Then, an X-ray window having a diamond film reinforced by silicon crosspieces as shown in FIG. 3 was invented. EP 36,533 disclosed on Apr. 25, 1990 proposed this reinforced window. In FIG. 3, an X-ray transparent film (1) is sustained by a silicon ring substrate (3), although the peripheral part of the substrate (3) is left unetched, the central part is partially etched. Thus the substrate is called a ring substrate. The unetched parts constitute reinforcing crosspieces (12). The crosspieces (12) are made from silicon, because they are originally parts of silicon substrate (3). A supporter frame (4) is glued to the periphery of the silicon ring substrate (3). There are the diamond X-ray transparent film and the silicon reinforcing crosspieces in the range through which X-rays are transmitted. X-rays must pass through the silicon crosspieces (12) as well as the diamond film (1). The X-rays will attenuate by the silicon crosspieces because silicon has big absorption for X-rays. This X-ray window has the advantage of facile fabrication, because the crosspieces of silicon are made only by etching away parts of the center of the silicon substrate (3). In addition, the inventors of the present invention had proposed another X-ray window having a diamond X-ray transparent film reinforced by the crosspieces which is fabricated by evaporation-coating nickel, chromium or other metals with high rigidity in a lattice structure, e.g. lengthwise and crosswise on the diamond film. This is Japanese Patent Application NO. 1-308174 filed on Nov. 28, 1989. The inventors had proposed another X-ray window having a diamond film reinforced by silicon crosspieces in Japanese Patent Application NO. 1-308173 filed on Nov. 28, 1989. The silicon crosspieces were made by bombarding boron ions lengthwise and crosswise on a silicon substrate, deposting diamond on the silicon substrate, and etching away the silicon substrate. Since the portions of the silicon substrate bombarded by boron ions are not etched, the residual boron-doped parts become the crosspieces. The X-ray windows having silicon crosspieces made by etching selectively the central part of a silicon substrate on which a diamond film was grown have the following disadvantages. Thermal expansion coefficient of silicon differs from that of diamond. As the growth of diamond by the vapor phase synthesis is done at considerable high temperature, strong thermal stress will be generated between the silicon crosspieces and the diamond film by the difference of thermal expansion coefficients, when the specimen is cooled down to room temperature. Therefore, the windows are likely to be broken or distorted, when they are fitted to X-ray measuring apparatuses. Other X-ray windows having crosspieces made from rigid metals except silicon also have the same disadvantage. Besides the disadvantage, the use of non-silicon metals for the crosspieces will complicate the fabrication procession, which takes us a lot of time and money. The purpose of this invention is to provide an X-ray window which excels in X-ray transmittance, mechanical strength and suppression of thermal stress. SUMMARY OF THE INVENTION The X-ray window of this invention essentially comprises a diamond X-ray transparent film and diamond reinforcing crosspieces selectively deposited on the diamond X-ray transparent film.
051494949
summary
abstract
A method for measuring the total sperm concentration (TSC) in a sample is described and includes: (i) placing the sample in a transparent container between a synchronically pulsed light source and a photodetector; and (ii) measuring the optical absorbance of the sample in the range of 800-1000 nm, the TSC of the sample being proportional to the absorbance. Also described is a sampling device for use in optically analyzing a biological fluid, a method for measuring motile sperm concentration (MSC) in a semen sample, a method of determining the average velocity (AV) of sperm cells and a system for analyzing semen quality including means for measuring TSC, means for measuring MSC; and a video visualization system.
044902883
description
The DRAWING shows, by way of example and diagrammatically an embodiment of a system according to the invention. The apparatus is designed to purify the atmosphere in an enclosed work-area 10 in the form of a so-called "glove-box". The atmosphere in closed area 10 is circulated by means of a blower. Gas from area 10 flows through an outlet line 14, an activity-measuring unit 16, a hydrogenating unit 18 connected to a regenerator 20, through a further activity-measuring unit 22 and, finally, through blower 12, and a return line 24, back to area 10. The atmosphere in area 10 may consist of an inert gas, more particularly a noble gas such as argon. Hydrogenating unit 18 may contain a fluidized bed, a fixed bed, a solution-column or an emulsion-column. The hydrogenating unit preferably contains an unsaturated fatty acid, and the said regenerator is used to separate tritium-containing reaction products. If the atmosphere in area 10 contains oxygen and consists of air, for example, hydrogenating unit 18 may also be preceded by a known oxidizing unit 26 which contains a catalytic furnace 28 and a molecular-sieve column 30 and which is otherwise of known design. In a preferred embodiment of the invention, the hydrogenating unit 18 is comprised of a column filled with know packing internals, as Intalox saddle bodies. A liquid mixture, comprising in proportional amounts 40 parts by volume linoleic acid (95%) PA0 10 parts by volume linolenic acid (70%) PA0 1 gram palladium acetonylacetate (hydrating catalyst) PA0 40 milliliters linoleic acid (95%) PA0 10 milliliters linolenic acid (70%) and PA0 1 gram palladium acetonylacetate is fed to the top of the column. The gas to be purified is fed to the bottom of the column, and the purified gas is withdrawn from the top of the column. The liquid mixture which may comprise solved stearic acid formed by hydration with tritium, is withdrawn from the bottom of the column, and passed through the regenerator which may comprise a fractionating column of known type. The purified liquid mixture, from which the tritium-containing stearic acid has been removed is recirculated to the purifying column. The following test shows the effectiveness of this process: were placed in a glass vessel having a volume of 250 milliliters. The remaining volume of the vessel was filled with helium comprising tritium in a proportion to obtain an initial activity of 1.25.times.10.sup.-5 Curies per milliliter. The vessel was shaken to secure intimate gas-liquid contact. Samples of 50 microliters were withdrawn from the vessel in regular intervals of about 5 minutes, and the tritium concentration was measured in a liquid scintillator measuring device. The activity of the acid mixture rose continuously and more than 95 percent of the initial activity was found in the acid mixture already after 30 minutes. After 3 hours, the tritium concentration of the liquid samples leveled off at a saturation value corresponding, within the accuracy of measurement, to a tritium content of 2.5 millicuries. Simultaneous by, a plurality of samples were obtained from the gas volume and investigated: The average value of the tritium concentration found was 3.times.10.sup.-7 Ci/ml. Thus, essentially all of the available tritium was taken up by the acid mixture, and the radioactivity of the gas was reduced to about one percent of its initial value. The invention has been described by way of illustration rather then limitation, and varions modifications will occur to those skilled in the art within the scope of the invention.
abstract
A phosphor panel favorably employable for medical diagnosis of chest has a phosphor layer formed on a substrate by a gas phase-accumulation method, in which the phosphor layer is composed of a large number of phosphor columns standing parallel to each other, in which the phosphor columns have a mean diameter of 0.1 to 50 μm at a top surface thereof, and there are no phosphor columns having a diameter larger than 200 μm at the top surface.
claims
1. A method, comprising:providing an active sensor list indicative of at least one sensor associated with at least one processing tool, the at least one sensor being communicatively coupled to a network having an associated bandwidth;receiving information indicative of a state of at least one of the processing tools; andmodifying the active sensor list based on the information indicative of the state of the at least one of the processing tools and the associated network bandwidth. 2. The method of claim 1, wherein modifying the active sensor list comprises adding at least one sensor to the active sensor list. 3. The method of claim 2, wherein adding at least one sensor to the active sensor list comprises adding the at least one sensor to the active sensor list in response to determining that additional network bandwidth is available based on the information indicative of the state of the at least one of the processing tools. 4. The method of claim 3, wherein adding the at least one sensor to the active sensor list in response to determining that additional network bandwidth is available based on the information indicative of the state of the at least one of the processing tools comprises adding the at least one sensor to the active sensor list in response to determining that at least one processing tool is idle. 5. The method of claim 1, wherein modifying the active sensor list comprises removing at least one sensor from the active sensor list. 6. The method of claim 5, wherein removing the at least one sensor from the active sensor list comprises removing the at least one sensor from the active sensor list to increase available network bandwidth. 7. The method of claim 1, wherein modifying the active sensor list comprises modifying the active sensor list based upon at least one of a sensor preference and a sensor priority. 8. The method of claim 1, wherein modifying the active sensor list comprises determining that a value associated with tool trace data provided by at least one of the sensors is different than an expected value. 9. The method of claim 1, wherein modifying the active sensor list comprises modifying the active sensor list based upon at least one empirical correlation between at least one work-in-progress levels, at least one process state, and the bandwidth. 10. The method of claim 1, further comprising providing the modified active sensor list. 11. The method of claim 10, wherein providing the modified active sensor list comprises providing the modified active sensor list during a process run. 12. The method of claim 10, wherein providing the modified active sensor list comprises providing the modified active sensor list between two process runs. 13. The method of claim 1, further comprising determining the bandwidth associated with the network. 14. The method of claim 13, wherein determining the bandwidth associated with the network comprises determining the bandwidth associated with the network based on at least one of a predetermined bandwidth, the active sensor list, and the information indicative of the state of the at least one of the processing tools. 15. A manufacturing system, comprising:means for providing an active sensor list indicative of at least one sensor associated with at least one processing tool, the at least one sensor being communicatively coupled to a network having an associated bandwidth;means for receiving information indicative of a state of at least one of the processing tools; andmeans for modifying the active sensor list based on the information indicative of the state of the at least one of the processing tools and the associated network bandwidth. 16. A manufacturing system, comprising:a network having an associated bandwidth;at least one sensor communicatively coupled to the network, the sensor configured to provide tool trace data associated with a processing tool via the network;a controller configured to:provide an active sensor list indicative of at least one of the sensors coupled to the network;receive information indicative of a state of at least one of the processing tools; andmodify the active sensor list based on the information indicative of the state of the at least one of the processing tools and the associated network bandwidth. 17. The system of claim 16, wherein the controller is configured to add at least one sensor to the active sensor list. 18. The system of claim 17, wherein the controller is configured to add the at least one sensor to the active sensor list in response to determining that additional network bandwidth is available based on the information indicative of the state of the at least one of the processing tools. 19. The system of claim 18, wherein the controller is configured to add the at least one sensor to the active sensor list in response to determining that at least one processing tool is idle based on the information indicative of the state of the at least one of the processing tools. 20. The system of claim 16, wherein the controller is configured to remove at least one sensor from the active sensor list. 21. The system of claim 20, wherein the controller is configured to remove the at least one sensor from the active sensor list to increase available network bandwidth. 22. The system of claim 16, wherein the controller is configured to modify the active sensor list based upon at least one of a sensor preference and a sensor priority. 23. The system of claim 16, wherein the controller is configured to determine that a value associated with the tool trace data is different than an expected value. 24. The system of claim 16, wherein the controller is configured to modify the active sensor list based upon at least one empirical correlation between at least one work-in-progress levels, at least one process state, and the bandwidth. 25. The system of claim 16, wherein the controller is configured to provide the modified active sensor list. 26. The system of claim 25, wherein the controller is configured to provide the modified active sensor list during a process run. 27. The system of claim 25, wherein the controller is configured to provide the modified active sensor list between two process runs. 28. The system of claim 16, wherein the controller is configured to determine the bandwidth associated with the network. 29. The system of claim 28, wherein the controller is configured to determine the bandwidth associated with the network based on at least one of a predetermined bandwidth, the active sensor list, and the information indicative of the state of the at least one of the processing tools. 30. A method, comprising:providing an active sensor list indicative of at least one sensor associated with at least one processing tool, the at least one sensor being communicatively coupled to a network having an associated bandwidth;receiving information indicative of a state of at least one of the processing tools;removing at least one sensor from the active sensor list based on the information indicative of the state of the at least one of the processing tools and the associated network bandwidth; andadding at least one sensor to the active sensor list based on the information indicative of the state of the at least one of the processing tools and the associated network bandwidth.
claims
1. A method for focusing a charged particle beam, the method comprising: (a) altering a focal point of a charged particle beam according to a first focal pattern that varies with time while scanning a first area of a sample and collecting a first set of detection signals; (b) altering the focal point of the charged particle beam according to a second focal pattern that varies with time while scanning a second area that matches the first area and collecting a second set of detection signals; and (c) processing the first set and the second set of detection signals to determine a focal characteristic; wherein the first focal pattern and the second focal pattern differ over time by the location of an optimal focal point. 2. The method according to claim 1 wherein the first focal pattern comprises under-focused points and over-focused points. 3. The method according to claim 1 further comprising repeating stages (a) and (b) such as to collect a third set of detection signals from a third area that matches the first area; wherein the processing further comprises processing the third set of detection signals. 4. The method according to claim 1 further comprising scanning a reference area that matches the first area while maintaining a substantial constant focal point to collect a reference set of detection signals; wherein the processing further comprises processing the reference set of detection signals. 5. The method according to claim 1 wherein the processing comprises estimating a focal change along a first axis and a focal change along a second traverse axis. 6. The method according to claim 5 wherein the focal characteristic is determined in response to the focal change along a first axis and in response to a focal change along the second axis. 7. The method according to claim 1 wherein the processing involves generating a gradient image of the first area and a gradient image of the second area. 8. The method according to claim 1 wherein the altering comprises a mechanical translation. 9. The method according to claim 1 wherein the altering comprises an alteration of a characteristic of an illumination path through which the charged particle beam propagates. 10. The method according to claim 1 wherein the altering is responsive to an estimated spatial relationship between at least one element of a charged beam device and between the surface of the sample. 11. The method according to claim 1 further comprising a preliminary stage of mapping an upper surface of the sample. 12. The method according to claim 1 wherein the first area comprises multiple non-continuous segments. 13. The method according to claim 1 wherein the first area comprises multiple segments and wherein the focal point is maintained substantially fixed in relation to a surface of the sample while scanning a single segment. 14. The method according to claim 1 wherein the processing comprises: generating a first axis gradient image of the first area; generating a second axis gradient image of the first area; calculating a focal grade of the first axis gradient image of the first area; and calculating a focal grade of the second axis gradient image of the first area. 15. The method according to claim 14 wherein the processing further comprises: generating a first axis gradient image of the second area; generating a second axis gradient image of the second area; calculating a focal grade of the first axis gradient image of the second area; calculating a focal grade of the second axis gradient image of the second area; comparing between the focal grade of the first axis gradient image of the first area and the focal grade of the first axis gradient image of the second area; and comparing between the focal grade of the second axis gradient image of the first area and the focal grade of the second axis gradient image of the second area. 16. The method according to claim 1 wherein the first focal pattern comprises a ramp. 17. The method according to claim 1 wherein the first focal pattern comprises limited focal changes. 18. The method according to claim 1 wherein stages (a) and (b) are executed during an inspection session of the sample. 19. The method according to claim 1 wherein the first focal pattern is applied during an inspection session of the sample. 20. The method according to claim 1 wherein the first focal pattern is applied during focal correction sessions between inspection sessions of the sample. 21. The method according to claim 1 wherein the first area comprises a first group of sub-areas and wherein the second area comprises a second group of sub-areas. 22. The method according to claim 21 wherein the processing comprises processing detection signals from each sub-area to provide a sub-area grade and determining the focus characteristic in response to the grades of each sub-area.
043137970
summary
TECHNICAL FIELD This invention relates to fuel assemblies for nuclear reactors and, more particularly, to a sleeve disposed in surrounding relationship to non-fuel bearing members in the fuel assembly. BACKGROUND ART In water-cooled nuclear reactors, the reactor core in which the fission chain is sustained generally contains a multiplicity of fuel assemblies. These fuel assemblies are mechanically identical and interchangeable, each being designed to maintain its own structural integrity. A fuel assembly typically contains, among other things, a plurality of longitudinally extending parallel members, some bearing fuel and some not bearing fuel. The parallel members are supported vertically by end fittings. Lateral bracing and spacing of the members are provided by spacer grids which contain a plurality of cellular voids. The spacer grids are disposed across the parallel members so that each parallel member extends through one cellular void per grid. The spaces between adjacent members create flow channels through which is circulated water, flowing from bottom to top. Most of the parallel members contain fuel and are known as fuel rods. The non-fuel bearing members are hollow and comprise an instrument tube and a plurality of guide tubes. The instrument tube accommodates various monitoring devices. The guide tubes are used to receive control rods which regulate the fissioning activity, and hence the generation of heat. Henceforth unless otherwise indicated, the term guide tube will be used to denote instrument tubes as well as guide tubes. The guide tubes have a larger diameter than the fuel rods. Because of this difference in diameter and because all the cellular voids of the spacer grid have equal center-to-center distances, the flow channel between a guide tube and an adjacent fuel rod has a smaller cross-sectional area than the flow channel between two adjacent fuel rods. This difference in flow channel space affects the flow of water, there being more flow in the wider space between two adjacent fuel rods. Water flow, in turn, affects the rate of heat transfer from the fuel to the water, a greater flow providing for a greater heat transfer. Since heat transfer rate is a factor affecting the maximum operating conditions (and hence the energy producing capability) of the reactor, the flow of water in the flow channel between a guide tube and an adjacent fuel rod is a limiting factor in the operation of the reactor. SUMMARY OF THE INVENTION The disclosed invention increases the operating capacity of a nuclear reactor by causing a modification in the flow pattern of the coolant which enhances the coolant's effectiveness. The apparatus provides a thin-walled tubular sleeve closely surrounding but not attached to the exterior surface of a guide tube in a fuel assembly. The wall of the sleeve has tabs projecting outwardly into adjacent flow channels. The sleeve is attached to the wall of a cellular void through which passes the guide tube associated with said sleeve. The various features of novelty which characterize the invention are pointed out with particularity in the claims annexed to and forming a part of this specification. For a better understanding of the invention, its operating advantages and specific objects attained by its use, reference should be had to the accompanying drawings and descriptive matter in which there is illustrated and described a preferred embodiment of the invention .
039375137
description
SPECIFIC DESCRIPTION A vertical support tube 1 is horizontally displaceable by remote control over the entire control-rod and fuel-element area of a nuclear reactor. A vertically displaceable grab head 2 is carried within the tube 1 and guided therein by means of guide rollers 3 (see FIG. 4) which are arranged within the tube 1 in pairs so as to ride on opposite angles rails 4 carried on the corners of the square-section head 2. Secured to the square-section lower end 5 of the head 4 is a pair of flat brackets 6 which extend down parallel to each other and are each formed at their lower ends with bores in which are received bushings 7 defining a horizontal axis 8. Hollow pivot pins 9 carried on a housing or support head 10 are journaled in the bushings 7 and the center of gravity of the head 10 and the mechanism therein which will be described below lies substantially on this axis 8 for easy pivoting of this head 10 about the axis 8. Each of the pins 9 is provided with a respective pinion 11 meshing with a respective rack 12 vertically guided on a respective bracket 6 by means of a respective guide tube 13. Each of the racks 12 carries a respective fork 14 in which can engage a respective arm 15 carried on the lower end of a respective rod 16. These rods 16 are guided by eyes 17 on support tube 1 and can both be vertically displaced within these eyes 17 and rotated about their own axes. It should be clear that vertical displacement of the rods 16 with their arms 15 engaged in forks 14 will vertically displace the racks 12 and thereby rotate the housing 10 about axis 8 by means of the pinions 11. The housing 10 is of generally square cross section and is provided on its one end with four like fingers 18 each lying along one side of the housing 10 and on its other end with four similar such fingers 19. The fingers 18 and 19 are all formed with respective central slots 20 of generally square shape but formed at their outer ends with outwardly diverging surfaces 21. The structure described hitherto is all centered on or symmetrical about a vertical central axis 22 of the apparatus. The head or housing 10 is centrally formed with a chamber in which is axially reciprocal a piston 23 provided on one side with a piston rod 24 and on the other side with a piston rod 25. A yoke 26 in the form of a metal plate is secured to the one piston rod 24 and tension springs 27 serve to pull this yoke toward the piston, thereby displacing the piston 23 into the upper position shown in FIG. 1. Two pairs of gripper pawls 28 are provided in the lower part of hollow housing 10, pivoted in pairs on two parallel rods 29 lying in a plane orthogonal to the axis 22 and parallel to the axis 8. Two pairs of links 30 pivoted at 31 on the yoke 26 and on respective pawls 28 below the pivots 29 thereof serve to operate these pawls. Thus as the piston is moved downwardly (see FIG. 2) the pawls will be displaced apart, whereas when moved upwardly into the normal rest position these pawls will be drawn together at their lower ends. The lower foot-like ends of the pawls 28 are engageable in holes 32 formed in a collar 33 of a fuel-element head 34. This collar 33 is of square section and is provided with upstanding fingers 35 adapted to engage within the fingers 19 of the housing 10 until the upper ends of the fingers 35 rest against a shoulder 36 formed within these fingers 19. Thus the housing 10 can be brought down and mated with the head 33, with the pawls 28 locking into the openings 32 and rigidly securing the housing 10 to the fuel element. Means is provided to prevent the head or housing 10 from tipping. This means comprises a transverse locking element 37 having pin-like ends 38 received in respective axially elongated and diagonally opposite slots 39 formed in the brackets 6. The piston rod 40 of a piston 41 slidable in a chamber within the support 5 is urged downwardly by a spring 42 so as to press wedge-like surfaces 44 (See FIG. 2) into the upper diverging ends of the slots 20, thereby locking the entire housing tightly against displacement about its axis 8. A line 43 is provided for feeding air under the piston 41 so as to lift the locking element 37 and allow pivoting of the housing 10. In addition the housing 10 is formed with an axially centered cylindrical sleeve 45 in which is slidable the piston rod 25 which is formed with an outwardly open groove 47 alignable with a group of balls 46 or a split ring which projects beyond the outer surface of the sleeve 45 when the piston is in the position illustrated in FIG. 1, but which lie within the projection of the outer surface of the sleeve 45 when the piston is lowered and these balls 46 can engage within the groove 47. Air is fed to the compartment above piston 23 through a conduit 48 and to the compartment below through a conduit 49. Respective swivel joints 50 and 51 are connected to respective feed lines 52 and 53 extending up through the housing tube 1 to the remote-control station. A position detector 54 having an end 55 engageable with the edge of the yoke 26 is connected via a signal line 56 to a protecting sleeve 57 mounted slightly off-center in one of the pins 9 so that this signal line 56 forms a slight loop at the axis 8. Again this line 56 leads off to the remote-control station so that the operator can be sure that the pawls 28 are in proper position. Similarly the piston 41 is provided as shown in FIG. 1 with an upwardly extending piston rod 60 surrounded by the spring 42 and provided at its upper end with a sensor body 59 engageable in the lower position of the piston 41 with a sensor switch 58 again connected to the remote-control station so that the operator there can determine that the housing 10 is locked in place. FIGS. 1 and 2 show the gripper arrangement in that position in which it is used to draw a fuel element out of its respective hole or place such a fuel element in a hole. To this end the tool with its housing 10 has been rotated by the racks 12 and the pinions 11 into the position of FIG. 1 in which the pawls 28 can grab the fuel-element head 34, with the elongated housing 10 aligned perfectly with the axis 22. In this position the assembly is locked in place by the wedge-like ends of the transverse locking element 37 which are engaged in the slits 20 of two diametrically opposite fingers 18 so that their surfaces 44 lie on the surfaces 41 of these fingers. In this position the air line 43 is not under pressure so that the spring 42 presses the piston 41 down. Similarly the line 52 is not pressurized while the line 53 is so that the force of springs 27 and air pressure below the piston 23 serve to lift the yoke 26 up and draw the lower ends of the pawls 28 together. The downwardly directed fingers 19 of the tool embrace the upwardly directed fingers 35 of the fuel-element head. The gripper arms 28 are in the locking position in which their hook-like ends fits into the holes 32. The fuel element is released by pressurizing the line 52 and allowing pressure to bleed out from underneath the piston in line 53. This will press the piston downwardly against the force of springs 27 and spread the ends of the pawls 28 apart so as to release the head of the fuel element. If it is then desired to withdraw a group of control rods from a fuel element the head must be turned over about the axis 8. To this end the line 43 is pressurized to lift the piston 41 and free locking element 37 from the fingers 19. Then the rods 16 are rotated to bring their arms 15 into engagement with the forks 14 and these rods are lifted so as to rotate the entire assembly through 180.degree.. When the rotation operation is completed the pressure is released from line 43 so as to allow the locking element 37 again to engage between the surfaces of the slots 20 thereby again locking the housing 10 in place. Once the housing is locked in place the operator will see by means of a control lamp connected to the switch 58 that this operation is completed. Once again the housing 10 is brought down over the top of a fuel element and engaged over the heads 34 thereof. As shown in FIG. 3 this time however the sleeve 45 is engaged within an upwardly extending collar 63 carried on a plate 62 interconnecting a group of control rods 61. Before the housing 10 is dropped into this position the piston 23 is moved down against the force of springs 27 so that the balls 46 may fall into the groove 47 and allow tight fitting of this sleeve 45 within the sleeve 63 of the control rods. Then the opposite chamber of the piston is pressurized or at least the pressure is relieved so as to allow the springs 27 to pull the piston down and press the balls 46 out into a corresponding groove formed on the inside of the sleeve 63. At the same time the end of the piston rod 25 depresses a rod 64 urged upwardly in the sleeve 63 by means of a spring 65 and formed at its lower end with an outwardly open groove 66 in which are engageable a group of balls 67 also engageable in an inwardly open groove 68 of the lower extension of the tube 63. When depressed the balls 67 slip into the groove 66 and allow the control rods 61 to be withdrawn from the fuel element by the plate 62 secured to the tube 63. The assembly according to the present invention allows a single device to be used both to place and withdraw fuel elements and to place and withdraw control rods in a nuclear reactor. The same actuating mechanism (piston 23) is used for gripping both of these different types of objects, and the same locking means (element 37) is used to secure the housing 10 in position no matter which of the grabs 25 or 28 is used. As a matter of fact the direction of displacement of the piston 23 is the same whether it is serving to operate the mechanism or grasping a control rod or that for grasping a fuel element, and the springs 27 serve to urge this piston 23 in the actuating direction so as to prevent a fuel element or a group of control rods from being dropped due to sudden accidental loss of air pressure. Only two sensors are needed to give outputs showing that the entire device is functioning properly and the mechanism is generally so simple that the service life is virtually indefinite.
summary
abstract
A method for peening an obstructed region of a metal assembly that is obstructed by an obstructing part of the metal assembly is provided. The method includes determining an optimal peening path for treating the obstructed region irrespective of the obstructing part; identifying a portion of the obstructing part within the optimal peening path; determining a section of the portion of the obstructing part that is removable without affecting a mechanical integrity and functionality of the obstructing part; removing, by machining, the section so as to create additional space along the optimal peening path; and peening the obstructed region, a path of the peening at least partially crossing through the additional space. A method for peening a nuclear reactor pressure vessel is also provided.
abstract
A multi-beam pattern definition device (102) for use in a particle-beam processing or inspection apparatus is configured to be irradiated with a beam (lp,bp) of electrically charged particles so as to form a number of beamlets to be imaged to a target. An aperture array means (202) comprises at least two sets of apertures (221, 222) for defining respective beamlets (b1-b5), wherein the sets of apertures comprise a plurality of apertures arranged in interlacing arrangements and the apertures of different sets are offset to each other by a common displacement vector (d12). An opening array means (201) has a plurality of openings (210) configured for the passage of a subset of beamlets corresponding to one of the sets of apertures but lacking openings (being opaque to the beam) at locations corresponding to the other sets of apertures. A positioning means shifts the aperture array means relative to the opening array means in order to selectively bring one of the sets of apertures into alignment with the openings in the opening array means.
059354399
abstract
An elongated internal core tube suction strainer supported at its ends for use in a suction system is disclosed as is a suction system comprising the elongated suction strainer in combination with ECCS pipes in various support combinations. The elongated internal core tube suction strainer of this invention is particularly suited for use with boiling water reactor (BWR) and pressurized water reactor (PWR) nuclear power plants. Because of the flexibility of the suction strainer of this invention, the suction strainer can be adapted for use in a variety of reactor plants. The elongated suction strainer has an internal core tube defining a plurality of fluid inlets and an exterior filtering structure defining a plurality of perforations. When connected to one or more recirculation suction pipes of the suction system within the reservoir of a nuclear power plant (or other facility), the perforations and fluid inlets permit fluid to pass but prevent solids and other particulate matter from entering the suction system. The suction system is capable of withstanding the large loads associated with the hydrodynamic forces resulting from a loss of coolant accident while being supported only at its ends. The extended length of the elongated suction strainer and thus its greatly increased overall straining surface area is due to the structural strength provided by the internal core tube.
summary
abstract
An electrolytic method of loading hydrogen into a cathode includes placing the cathode and an anode in an electrochemical reaction vessel filled with a solvent, mixing a DC component and an AC component to produce an electrolytic current, and applying an electrolytic current to the cathode. The DC component includes cycling between: a first voltage applied to the cathode for a first period of time, a second voltage applied to the cathode for a second period of time, wherein the second voltage is higher than the first voltage, and wherein the second period of time is shorter than the first period of time. The AC component has a frequency between about 1 Hz and about 100 kHz. The peak sum of the voltages supplied by the DC component and AC component is higher than the dissociation voltage of the solvent.
H00009369
claims
1. In a stellarator fusion reactor having a fusion plasma in thermal balance disposed therein and external toroidal magnetic field coils and helical stabilizing coils said fusion plasma including alpha-particles and occupying a volume V.sub.0 and said magnetic field coils producing a toroidal confining magnetic field, a method of generating electricity in said external toroidal magnetic fields coil from the energy of said alpha particles, (a) compressing said plasma adiabatically to a volume V.sub.1 ; (b) maintaining the volume of said compressed plasma at said volume V.sub.1 for a time substantially equal to a thermal relaxation time, such that the temperature of said plasma is driven up by thermonuclear alpha-particle heating thereby providing a heated plasma; and (c) expanding said heated plasma to said volume V.sub.0 and simultaneously generating, electrical energy in said external toroidal field coils by a back-voltage produced by said expanding plasma. (a) compressing said plasma adiabatically to a volume V.sub.1 ; (b) expanding said compressed plasma, at constant pressure, to a volume V.sub.2 at which .beta.=.beta..sub.c, said volume V.sub.2 being greater than V.sub.1 and less than V.sub.0, such that temperature of said plasma is driven up by thermonuclear alpha-particle heating thereby providing a heated plasma; and (c) expanding said heated plasma from said volume V.sub.2 to said volume V.sub.0, said .beta. remaining at a value equal to .beta..sub.c ; whereby electrical energy is simultaneously generated in said external toroidal magnetic field coils by a back-voltage produced by said expanding plasma. (a) compressing the plasma in said first reactor adiabatically to a volume V.sub.1 ; (b) maintaining the volume of said compressed plasma in said first reactor at said volume V.sub.1 for a time substantially equal to a thermal relaxation time, such that the temperature of said plasma is driven up by thermonuclear alpha-particle heating thereby providing a heated plasma; (c) expanding said heated plasma in said first reactor to said volume V.sub.0 and simultaneously generating electrical energy in said external toroidal magnetic field coils of said first reactor by a back-voltage produced by said expanding plasma; (d) transferring a portion of said generated electrical energy to said second stellarator reactor in an amount sufficient to compress the plasma in said second reactor to a volume V.sub.1 ; (e) compressing the plasma in said second reactor adiabatically to a volume V.sub.1 ; (f) maintaining the volume of said plasma in said second reactor at said volume V.sub.1, for a time substantially equal to a thermal relaxation time, such that the temperature of said plasma is driven up by thermonuclear alpha-particle heating thereby providing a heated plasma, while maintaining the volume of said plasma in said first reactor at said volume V.sub.0 ; and (g) expanding said heated plasma in said second reactor to said volume V.sub.0 and simultaneously generating electrical energy in said external toroidal magnetic field coils of said second reactor by a back-voltage produced by said expanding plasma. transferring a portion of the electrical energy generated in said second reactor external toroidal magnetic field coils to said first reactor in an amount sufficient to compress the plasma in said first reaction to a volume V.sub.1. (a) toroidal vacuum vessel having a fusion plasma disposed therein, said plasma including alpha-particles; (b) helical magnetic stabilizing coils disposed about said vacuum vessel; (c) external toroidal magnetic confining field coils disposed about said vacuum vessel; (d) means for generating a current through said toroidal coils; (e) means for compressing said plasma; (f) means for maintaining the volume of said compressed plasma constant, said means operable to maintain said compressed volume for a time substantially equal to a thermal relaxation time; (g) means for expanding said plasma; and (h) means for transmitting current from said toroidal coils generated by said plasma. (a) a first toroidal vacuum vessel, said vacuum vessel having a fusion plasma disposed therein, said fusion plasma including alpha-particles; (b) A first set of helical magnetic stabilizing coils disposed about said first toroidal vacuum vessel; (c) a first set of external toroidal magnetic coils disposed about said first toroidal vacuum vessel, said first set of toroidal coils operable to generate a magnetic field, to confine and compress said plasma, when an electric current is passed therethrough; (d) means for generating a current through said first set of toroidal coils; (e) means for transmitting electrical current, generated by said plasma, from said first set of toroidal coils; (f) a second toroidal vacuum vessel, said vacuum vessel having a fusion plasma disposed therein, said fusion plasma including alpha-particles; (g) a second set of helical magnetic stabilizing coils disposed about said second toroidal vacuum vessel; (h) a second set of external toroidal magnetic coils disposed about said second toroidal vacuum vessel, said second set of toroidal coils operable to generate a magnetic field, to confine and compress said plasma, when an electric current is passed therethrough; (i) means for transmitting electric current, generated by said plasma, from said second set of toroidal coils; (j) means for transferring electrical current from said first set of toroidal coils to said second set of toroidal coils. (k) means for transferring electric current from said second set of toroidals coils to said first set of toroidal coils. 2. The method of claim 1 wherein the step of compressing said plasma is performed by increasing the toroidal confining magnetic field produced by said toroidal magnetic field coils. 3. The method of claim 2 wherein the step of maintaining the volume of said compressed plasma is performed by further increasing the toroidal confining magnetic field produced by said toroidal magnetic field coils. 4. The method of claim 3 wherein the step of expanding said compressed plasma is performed by reducing the toroidal confining magnetic field produced by said toroidal magnetic field coils. 5. The method of claim 4 wherein said method is repeated cyclically. 6. In a stellarator fusion reactor having a fusion plasma in thermal balance disposed therein and external magnetic field coils and helical stabilizing coils said fusion plasma including alpha-particles and occupying a volume V.sub.0 and said external toroidal magnetic field coils producing as toroidal confining magnetic field, a method of generating electricity in said external toroidal magnetic field coils from the energy of said alpha particles, said method comprising the steps of: 7. The method of claim 6 wherein the step of compressing said plasma is performed by increasing the toroidal confining magnetic field produced by said toroidal magnetic field coils. 8. The method of claim 7 wherein the step of expanding said compressed plasma at constant pressure is performed by reducing the toroidal confining magnetic field produced by said toroidal magnetic field coils at a rate sufficient to maintain said plasma at a constant pressure. 9. In a stellarator fusion reactor system having a first and a second stellarator reactor, each of said first and second stellarator reactors having a fusion plasma disposed therein and external toroidal magnetic field coils and stabilizing helical coils, said fusion plasma including alpha-particles and occupying a volume V.sub.0 and said toroidal magnetic field coils producing a toroidal confining magnetic field, a method of generating electricity in said external toroidal magnetic field coils from the energy of said alpha-particles, said method comprising: 10. The method of claim 9 further including the steps of: 11. The method of claim 10 wherein said method is repeated cyclically. 12. The method of claim 11 wherein steps (a) and (e) are performed by increasing the toroidal confining magnetic field produced by said first and said second reactor toroidal magnetic field coils. 13. The method of claim 12 wherein steps (b) and (f) are performed by further increasing the toroidal confining magnetic field produced by said first and said second reactor toroidal magnetic field coils. 14. The method of claim 13 wherein steps (c) and (g) are performed by reducing the toroidal confining magnetic field produced by said first and said second reactor toroidal magnetic field coils. 15. A magnetic confinement fusion reactor for generating electricity comprising: 16. The reactor of claim 15 wherein said means for compressing said plasma comprises means for increasing the current through said toroidal coils. 17. The reactor of claim 16 wherein said means for maintaining the volume of said compressed plasma comprises means for further increasing the current through said toroidal coils. 18. The reactor of claim 17 wherein the means for expanding said plasma comprising means for reducing the current through said toroidal coils. 19. An electric current generating system comprising:
045377103
abstract
A new cation exchanger is a modified tobermorite containing aluminum isomorphously substituted for silicon and containing sodium or potassium. The exchanger is selective for lead, rubidium, cobalt and cadmium and is selective for cesium over calcium or sodium. The tobermorites are compatable with cement and are useful for the long-term fixation and storage of radioactive nuclear wastes.
description
As shown in these drawings, the spacer grid 100 used for supporting fuel rods within a nuclear fuel assembly in accordance with the present invention is fabricated by interlacing a plurality of first and second inner straps 10 and 20 at right angles at the slits 12 and 22, thus forming a desired spacer grid 100 having an egg-crate pattern. The interlaced first and second inner straps 10 and 20 are welded together at the top and bottom of each interlaced cross point, thus being integrated into a single structure. Each of the first and second inner straps 10 and 20 is integrated at their upper edge with a plurality of double deflected vanes 30. Each of the double deflected vanes 30 comprises a swirl flow inducing vane 31 integrally extending upward from the upper edge of an associated inner strap, while being deflected toward fuel rod, and a main vane 32 formed by bending the middle of the double deflected vane along the predetermined inclined bending line. As shown in FIGS. 6 and 7, the first and second inner straps 10 and 20 of the spacer grid 100 each has a rectangular body 11 or 21, which has a thin, straight cross-section. Each of the first and second inner straps 10 and 20 also has a plurality of vertical slits 12 or 22, which extend from the upper or lower edge of an associated inner strap to its middle, while being regularly spaced apart from each other. A pair of welding tabs 50 is formed on the edge of each inner strap 10 or 20 at the sides of each vertical slit 12 or 22, and melts during an intersection welding process to form welding nuggets. In each of the inner straps 10 and 20, the slits 12 or 22 are spaced at an interval equal to the pitch of the fuel rods. As shown in FIG. 5, The double deflected vanes 30 are integrated with the upper edge of each of the first and second inner straps 10 and 20 and are formed either side of the vertical slits 12 and 22, such that a pair of vanes 30 around each of the slits 12 and 22 are rotationally symmetrical with each other. The double deflected vanes 30 each comprise a swirl flow inducing vane 31 and a main vane 32. The swirl flow inducing vane 31 is integrated with the upper edge of an associated strap 10 or 20, and is deflected in a direction toward an associated fuel rod 200. The main vane 32 is formed by bending the middle of the double deflected vane along the predetermined inclined bending line. Each of the vanes 30 is thus deflected twice to have double deflection angles. The swirl flow inducing vane 31 is an asymmetric triangular shape which has an inclined upper edge, an inclined bending line and a base portion 311 and is deflected toward an associated fuel rod 200 at a predetermined acute angle relative to the plane of an associated inner strap 10 or 20, as best seen in FIG. 5. The swirl flow inducing vane 31 simultaneously generates negative pressure at the back of the vane and high pressure at the front of the vane. This high and low pressure distribution makes it easy to cause axial flow of the coolant to lateral flow of coolant, guides the axial coolant flow to the main vane, and increases the total swirl flow of coolant inside the spacer grid. The width of base portion 311 of the swirl flow inducing vane 31 is substantially equal to the distance between the welding nuggets formed at each intersection of the inner straps 10 and 20. The base portion 311 is increased in its length in comparison with that of a conventional spacer grid, and so it is possible to prevent an unexpected bending of the vanes due to the contact of the vanes with fuel rods during an insertion of the fuel rods into a cell opening. The vane has a polygonal shape with a wide base portion and a narrow top portion, to make a smooth variation of cross sectional of the coolant passage at upper part of strap, thereby reducing the pressure loss of the coolant flow through the spacer grid upper portion and improving hydraulic performance of the spacer grid. The main vane 32, which is formed by bending the middle of the double deflected vane along the predetermined inclined bending line, is deflected in the direction toward an associated fuel rod 200 relative to the plane of the swirl flow inducing vane 31 and has a curved edge 321 which is shaped to surround the associated fuel rod 200 and preferably maintains a constant distance from the surface of the fuel rod 200, as shown in FIGS. 5 and 7, is to generate a swirl flow of coolant in the fuel assembly. As shown in FIGS. 3 and 4, a pair of double deflected vanes 30 are positioned within each of the square cells such that the vanes 30 face each other and are deflected toward the fuel rod inside the square cell so as to generate swirl flow about the axis of the fuel rod 200 in a cell. As described above, the present invention provides a spacer grid with a plurality of double deflected vanes for nuclear fuel assemblies, which generate a strong swirl flow around the fuel rod, preventing the vane from bending upon rod insertion and causing low pressure drop in the outlet of the spacer grid. Although the preferred embodiments of the present invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims.
abstract
A pressurized water nuclear reactor (PWR) includes a once through steam generator (OTSG) disposed in a generally cylindrical pressure vessel and a divider plate spaced apart from the open end of a central riser. A sealing portion of the pressure vessel and the divider plate define an integral pressurizer volume that is separated by the divider plate from the remaining interior volume of the pressure vessel. An internal control rod drive mechanism (CRDM) has all mechanical and electromagnetomotive components including at least a motor and a lead screw disposed inside the pressure vessel. Optionally CRDM units are staggered at two or more different levels such that no two neighboring CRDM units are at the same level. Internal primary coolant pumps have all mechanical and electromagnetomotive components including at least a motor and at least one impeller disposed inside the pressure vessel. Optionally, the pumps and/or CRDM are arranged below the OTSG.
summary
abstract
Mobile apparatuses move within contaminated fluid to create fluid flows against structures that remove and prevent contaminant deposition on structure surfaces immersed in the fluid. Unsettling flows in water may exceed approximately 2 m/s for radionuclide particles and solutes found in nuclear power plants. Mobile apparatuses include pressurized liquid from a pump or pressurized source that can be chemically and thermally treated to maximize deposition removal. When spraying the pressurized liquid to create the deposition-removing flow, mobile apparatuses may be self-propelled within the fluid about an entire surface to be cleaned. Mobile apparatuses include filters keyed to remove the contaminants moved into the coolant by the flow, and by taking in ambient fluid, enable such filtering of the ambient fluid along with a larger flow volume and propulsion. Propulsion and the pressurized liquid in turn enhance intake of ambient fluid.
summary
summary
052451950
claims
1. A pliant X-ray attenuating protective film comprising a sheet of a thermoplastic elastomer and about 60 to 90 weight percent of a barium salt substantially homogeneously dispersed therein. 2. The film of claim 1 wherein said barium salt is barium sulfate. 3. The film of claim 2 wherein the thickness of the film is from about 0.5 to about 5 millimeters. 4. The film of claim 2 wherein the thickness of the film is from about 0.75 to about 1.25 millimeters. 5. The film of claim 4 wherein the X-ray attenuation of the film is equivalent to that of a lead foil of 0.2 millimeters in thickness. 6. An X-ray attenuating protective garment having at least one thickness of the protective film of claim 1 placed on at least selective portions thereof.
summary
055330789
summary
FIELD OF THE INVENTION The present invention relates generally to nuclear fuel assemblies for pressurized water nuclear rectors, and more particularly to decreasing the pressure drop across the fuel assembly and increasing the amount of power generated by the fuel assembly. Background of the Invention As is well known, improvements in fuel cycle costs of nuclear power plants may be achieved by increasing the net amount of fuel in the fuel assemblies in the reactor core. Although increasing the diameter of the fuel rods would produce such an increase, it would also result in the concomitant increase in the resistance to coolant flow within the assembly and an increase in pressure drop. Spacer grids which assist in maintaining the fuel rods in fixed positions in the fuel assembly also contribute significantly to the resistance to coolant flow and increase in pressure drop across the fuel assembly. Since reactor power levels are limited by the amount of coolant flowing through the assembly as well as by local heat transfer conditions present at the surface of the fuel rods, it is highly desirable that spacer grids offer as little resistance to coolant flow as is possible. Furthermore, since there are several spacer grids which are located at selected intervals along the length of the fuel assembly, their total contribution to resistance to coolant flow and pressure drop affects the maximum quantity of nuclear fuel that may be utilized in a particular fuel assembly design. It would thus be an advantage over prior art designs if a spacer grid offered lower resistance to coolant flow, or one or more of the spacer grids could be eliminated from the assembly resulting in a decrease in the pressure drop and thereby permitting an increase in the total amount of power generated by the nuclear fuel assembly. Further improvements in nuclear reactor operations could be achieved if the amount of power that could be safely produced within the fuel assembly was increased. Spacer grids which provide lateral bracing and rod-to-rod spacing are typically designed to allow differential axial expansion of the fuel rods. Springs incorporated in the spacer grids are most frequently used to permit some sliding of the fuel rods with respect to the spacer grids. In some of the designs, the spacer grid is free to move axially a small amount to accommodate minor changes in the axial length of the fuel rods during irradiation. If spacer grids were to be rigidly connected to the fuel rods as well as to structural members of the fuel assembly, then relative axial movement due to rod growth and thermal expansion of adjacent rods can cause local fuel rod skewing and bowing. As is well known, spacer grids are generally built up from a relatively large number of different intricately shaped strips that are fitted together to form spacer cells and subsequently welded. Each spacer cell includes dimples and/or springs to maintain the desired rod-to-rod spacing. Thus, the springs and dimples keep the fuel rods in their proper lateral positions. But, under the influence of radiation, the springs are prone to relax and this can lead to undesirable changes in fuel rod pitch (i.e. rod-to-rod spacing) or it may cause gaps or spaces to develop between fuel rods and the springs and dimples, and increases the likelihood that the rods and/or spacer grids will vibrate. Such gaps, changes in fuel rod pitch, and vibration may lead to fuel rod fretting and failure. Furthermore, as the fuel is irradiated, the fuel rods undergo a shrinkage or diameter reduction known as "creepdown" which can result in gaps between the fuel rod cladding and the springs or dimples which in turn can cause or contribute to fuel rod fretting. Thus, it would be an advantage over prior art nuclear fuel assemblies to: (a) eliminate at least one spacer from the assembly and thereby decrease the pressure drop across the assembly and increase the power generated by the assembly; and (b) further increase the power generated by the assembly by replacing the eliminated spacer(s) with a securing means which permits additional fuel to be placed within the fuel rods but without extending the overall length of the fuel assembly and without increasing the pressure drop across the fuel assembly. SUMMARY OF THE INVENTION A nuclear fuel assembly having a predetermined overall length for a pressurized water reactor, comprising a lower tie plate having at least one aperture, a guide tube having an upper end and a lower end connected to the lower tie plate, spacer grids spaced along the guide tube, an upper tie plate which is attached to the upper end of the guide tube, an instrumentation tube attached at one end to the lower tie plate and attached at an opposite end to the upper tie plate, extended fuel rods which extend to the lower tie plate and which are spaced radially and supported along the guide tube by the spacer grids, and at least one of the extended fuel rods has at a lower end a fuel rod lower end cap which is secured within the aperture in the lower tie plate.
summary
abstract
A method is provided for operating a nuclear reactor. The method includes operating the nuclear reactor for an initial cycle during which a core contains initial nuclear fuel assemblies; then operating the nuclear reactor for transition cycles, at least some of the initial nuclear fuel assemblies being progressively replaced, during the replacement steps preceding the transition cycles, with transition nuclear fuel assemblies or with plutonium-equilibrium nuclear fuel assemblies; and then operating the nuclear reactor for at least one plutonium equilibrium cycle during which the core contains only plutonium-equilibrium nuclear fuel assemblies.
046860797
claims
1. A fuel assembly comprising: an upper tie plate; a lower tie plate; a plurality of fuel rods, each having both ends thereof held by said upper and lower tie plates; a water rod disposded between said fuel rods, said water rod having the lower end thereof held by said lower tie plate and having an outer diameter greater than that of respective ones of said fuel rods; and a fuel spacer having a large number of circular members for the insertion of said fuel rods, and having a plurality of bridge members having both ends thereof fitted respectivley to two of said circular members adjacent one antother and also adjacent said water rod; said bridge members being held by projections formed on side surfaces of said water rod. 2. The fuel assembly as defined in claim 1 wherein a flexible member coming into contact with said water rod is disposed on at least one of said bridge members. 3. The fuel assembly as defined in claim 2 wherein said flexible member is a loop spring. 4. The fuel assembly as defined in claim 1 wherein said bridge members are disposed on the diagonals of a rectangular fuel spacer and their both ends are fitted to said two adjacent circular members. 5. The fuel assembly as defined in claim 4 wherein both ends of said bridge member are bent in such a fashion that the center of said bridge member projects outwardly away from said water rod, and are fitted to said circular members. 6. The fuel assembly as defined in claim 5 wherein part of said bridge members has said projection coming into contact with said water rod and the other of said bridge members have said flexible member coming into contact with said water rod. 7. The fuel assembly as defined in claim 1 wherein said bridge members are disposed in parallel with the side surface of said fuel spacer, and both ends of said bridge member are fitted to said two adjacent circular members. 8. The fuel assembly as defined in claim 7 wherein both ends of said bridge member are bent in such a manner that its center projects outwardly way from said water rod, and are fitted to said circular members. 9. The fuel assembly as defined in claim 8 wherein part of said bridge members has projections coming into contact with said water rod, and the other of said bridge members have said flexible members coming into contact with said water rod. 10. The fuel assembly as defined in claim 1 wherein both ends of said bridge member are interposed between said water rod and said circular members to which said bridge member is fitted. 11. The fuel assembly as defined in claim 1, whereing said water rod has an outer diameter greater than the outer diameter of respective ones of said circular members, a plurality of said bridge members being arranged adjacent said water rod.
abstract
The invention relates to device diagnostics in industrial processes comprising field devices employing a field bus. A remote diagnostics device (1) is placed in connection with a process device (2), separate from the field devices and the field bus. The remote diagnostics device comprises diagnostics electronics which collects diagnostics data relating to the process device, and a transmitter part for wireless (17) transmission of the diagnostics data to a near-by field device. The field device comprises a receiver part (41) for wireless (17) reception of the diagnostics data from said remote diagnostics device (1). A field device control unit (430) analyses the received diagnostics data and transmits a diagnostics report over a field bus interface (44) and the field bus to a diagnostics computer.
claims
1. A review apparatus provided with an automatic defect review (ADR) function in which a high magnification defect image is automatically obtained based on positional information of a defect detected by an outer inspection apparatus, comprising:a storage device for storing a plurality of coordinate correction tables for correcting a deviation between coordinate values of the defect obtained by the outer inspection apparatus and by the review apparatus, respectively;a central control unit for switching said plurality of coordinate correction tables to an optimal coordinate correction table in executing said ADR; anda display for displaying an evaluation result of the plurality of coordinate correction tables,wherein a selection box for selecting the plurality of coordinate correction tables and a defect map showing a tendency of the deviation corresponding to the selection box are displayed as the evaluation result. 2. The review apparatus according to claim 1, wherein said central control unit updates content of the coordinate correction tables after the end of the execution of said ADR. 3. A review apparatus for obtaining a defect image of a sample automatically based on positional information of a defect detected by an outer inspection apparatus, comprising:a storage device for storing a plurality of coordinate correction tables for determining a coordinate value for obtaining the defect image based on a coordinate value of the defect obtained by the outer inspection apparatus;a central control unit for switching said plurality of coordinate correction tables in parallel to review of the sample; anda display for displaying an evaluation result of the plurality of coordinate correction tables,wherein a selection box for selecting the plurality of coordinate correction tables and a defect map showing a tendency of the deviation between coordinate values of the defect obtained by the outer inspection apparatus and by the review apparatus corresponding to the selection box are displayed. 4. The review apparatus according to claim 3, wherein said central control unit evaluates correction accuracy of the plurality of coordinate correction tables in parallel to the review. 5. The review apparatus according to claim 3, wherein said central control unit sets one of coordinate correction tables evaluated as appropriate among the plurality of coordinate correction tables to an initial coordinate correction table for next review.
description
This application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Patent Application Ser. No. 61/781,274, filed Mar. 14, 2013, entitled APPARATUS FOR PASSIVELY COOLING A NUCLEAR PLANT COOLANT RESERVOIR. This invention is also related to U.S. patent application Ser. No. 14/195,890, entitled IN-CONTAINMENT SPENT FUEL STORAGE TO LIMIT SPENT FUEL POOL WATER MAKEUP, now U.S. Pat. No. 10,522,257, issued Dec. 31, 2019, filed concurrently herewith. The present invention relates generally to a passive cooling system for nuclear power plant coolant reservoirs and more specifically to such a system for cooling the in-containment refueling water storage tank and the spent fuel pool. The primary side of nuclear reactor power generating systems creates steam for the generation of saleable electricity. For reactor types such as pressurized water reactors or liquid metal cooled reactors, the primary side comprises a closed circuit which is isolated and in heat exchange relationship with a secondary circuit for the production of useful steam. For reactor types such as boiling water reactors or gas cooled reactors, the gas used for generating saleable electricity is heated directly in the reactor core. A pressurized water reactor application will be described as an exemplary use of the concepts claimed hereafter; though it should be appreciated that other types of reactors may benefit equally from the concepts disclosed herein as well. The primary side of a pressurized water reactor system comprises a reactor vessel enclosing a core internal structure that supports a plurality of fuel assemblies containing fissile material, the primary circuit within heat exchange steam generators, the inner volume of a pressurizer, pumps and pipes for circulating pressurized water; the pipes connecting each of the steam generators and pumps to the reactor vessel independently. Each of the parts of the primary side comprising a steam generator, a pump and a system of pipes, which are connected to the vessel, form a loop of the primary side. For the purpose of illustration, FIG. 1 shows a simplified pressurized water nuclear reactor primary system, including a generally cylindrical reactor pressure vessel 10 having a closure head 12 enclosing a nuclear core 14. A liquid reactor coolant, such as water, is pumped into the vessel 10 by pumps 16 through the core 14 where heat energy is absorbed and is discharged through a heat exchanger 18, typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown) such as a steam driven turbine generator. The reactor coolant is then returned to the pumps 16 completing the primary loop. Typically, a plurality of the above-described loops are connected to a single reactor vessel 10 by reactor coolant piping 20. Pressurized water nuclear reactors are typically refueled on an 18-month cycle. During the refueling process, a portion of the irradiated fuel assemblies within the core are removed and replenished with fresh fuel assemblies which are relocated around the core. The removed spent fuel assemblies are typically transferred under water out of the reactor containment 22 to a separate building that houses a spent fuel pool, figuratively shown in FIG. 1 and designated by reference character 24, in which these radioactive fuel assemblies are stored. The water in the spent fuel pool is deep enough to shield radiation to an acceptable level and prevents the fuel rods within the fuel assemblies from reaching temperatures that could breach the cladding of the fuel rods which hermetically house the radioactive fuel material and fission products. Cooling continues at least until the decay heat within the fuel assemblies is brought down to a level where the temperature of the assemblies is acceptable for dry storage. Events in Japan's Fukushima Dai-ichi Nuclear Power Plant reinforced concerns over the possible consequences of the loss of power over an extended period to the systems that cool spent fuel pools. As a result of this tsunami, there was a loss of off-site and on-site power which resulted in a station blackout period. The loss of power shut down the spent fuel pool cooling systems. The water in some of the spent fuel pools dissipated through vaporization and evaporation due to a rise in the temperature of the pools, heated by the highly radioactive spent fuel assemblies submerged therein. Without power over an extended period to pump replacement water into the pools, the fuel assemblies could potentially become uncovered, which could, theoretically, raise the temperature of the fuel rods in those assemblies, possibly leading to a breach in the cladding of those fuel rods and the possible escape of radioactivity into the environment. More recently designed passively cooled nuclear plants, such as the AP1000® nuclear plant design offered by Westinghouse Electric Company LLC, Cranberry Township, Pa., which utilizes passive safety systems, has been evaluated to be able to continue to provide cooling for at least three days following an extreme event like the one at Fukushima. It is an object of this invention to modify the way the water that is used to cool spent fuel is cooled so that the spent fuel can be cooled for at least ten days following a Fukushima type of event. It is a further object of this invention to provide such cooling passively to enable a commercial 1.100 megawatt nuclear plant to provide core and spent fuel cooling using passive means for ten or more days. These and other objects are achieved by a nuclear power plant having a nuclear steam supply system hermetically housed within a containment. A reservoir, comprising water, is housed within or within proximity of the containment. Spent nuclear fuel is submerged within the reservoir and cooled by the water and a thermosiphon is positioned to extend from within the reservoir to an exterior of the containment to passively transfer heat in the reservoir to air outside the containment. In one embodiment, the thermosiphon comprises a first and second heat exchanger with the second heat exchanger at a higher elevation than the first heat exchanger. The first heat exchanger is at least partially immersed within the reservoir which places the water in the reservoir on the first side of the first heat exchanger in thermal communication with a working fluid on the second side of the heat exchanger. The second side of the first heat exchanger is in fluid communication with the first side of the second heat exchanger through a closed loop in which the working fluid circulates, which places the working fluid on the first side of the second heat exchanger in thermal communication with ambient air outside the containment on the second side of the second heat exchanger. In a preferred embodiment, the working fluid is a refrigerant and the closed loop outside the containment comprises a finned tube. Preferably, the containment comprises a steel shell extending substantially around the containment and having substantially vertical walls that extend from a lower portion of the containment to an upper portion of the containment. Desirably, an air baffle extends substantially around and spaced from the vertical walls of the containment with an air inlet at a lower elevation of the air baffle and an air outlet at an upper elevation of the air baffle, the second heat exchanger being supported within an annulus between the vertical wall of the containment and the air baffle. Preferably, the second heat exchanger is supported in a lower portion of the annulus. In another embodiment, the first heat exchanger includes a plurality of first heat exchangers, the second heat exchanger includes a plurality of second heat exchangers and the closed loop includes a plurality of closed loops. The plurality of closed loops are configured to operate in parallel with each of the closed loops having at least one of the first heat exchangers and at least one of the second heat exchangers. Preferably, each of the second heat exchangers are spaced around the outside of the containment. Desirably, the closed loop includes a valve for cutting off circulation of the working fluid. In one embodiment, the reservoir is a refueling water storage tank within the containment. In this application, the first heat exchanger is within the containment and the second heat exchanger is outside the containment. In another embodiment, the reservoir is a spent fuel pool outside of and within proximity of the containment. As previously mentioned, in the unlikely event of a Fukushima type of occurrence, the AP1000® plant is designed to utilize passive safety systems, such as the passively cooled containment 22 shown in FIG. 2, to continue to provide cooling for at least three days. One of the safety systems for accomplishing that objective is the passive containment cooling system illustrated in FIG. 2. The passive containment cooling system 22 surrounds the AP1000® nuclear steam supply system, including the reactor vessel 10, steam generator 18, pressurizer 26 and the main coolant circulation pump 16; all connected by the piping network 20. The containment system 22 in part comprises a steel dome containment vessel enclosure 28 surrounded by a concrete shield building 30 which provides structural protection for the steel dome containment vessel 28. The major components of the passive containment cooling system are a passive containment cooling water storage tank 32, an air baffle 34, an air inlet 36, an air exhaust 38 and a water distribution system 40. The passive containment cooling water storage tank 32 is incorporated into the shield building structure 30, above the steel dome containment vessel 28. An air baffle 34 located between the steel dome containment vessel 28 and the concrete shield building 30 defines the air cooling flow path which enters through an opening 36 in the shield building 30 at an elevation approximately at the top of the steel dome containment 28. After entering the shield building 30, the air path travels down one side of the air baffle 34 and reverses direction around the air baffle at an elevation adjacent the lower portion of the steel dome containment vessel and then flows up between the baffle and the steel dome containment vessel 28 and exits at the exhaust opening 38 in the top of the shield building 30. The exhaust opening 38 is surrounded by the passive containment cooling water storage tank 32. In the unlikely event of an accident, the passive containment cooling system provides water that drains by gravity from the passive containment cooling water storage tank 32 and forms a film over the steel dome containment vessel 28. The water film evaporates thus removing heat from the steel dome containment building 28. The passive containment cooling system is capable of removing sufficient thermal energy, including subsequent decay heat, from the containment atmosphere following a design basis event resulting in containment pressurization, such that the containment pressure remains below the design value with no operator action required for at least 72 hours. The air flow path that is formed between the shield building 30, which surrounds the steel dome containment vessel 28, and the air baffle 34 results in the natural circulation of air upward along the containment vessels' outside steel surface. This natural circulation of air is driven by buoyant forces when the flowing air is heated by the containment steel surface and when the air is heated by and evaporates water that is applied to the containment surface. The flowing air also enhances the evaporation that occurs from the water surface. In the event of an accident, the convective heat transfer to the air by the containment steel surface only accounts for a small portion of the total heat transfer that is required, such total heat transfer being primarily accomplished by the evaporation of water from the wetted areas of the containment steel surface, which cools the water on the surface, which then cools the containment steel, which then cools the inside containment atmosphere and condenses steam within the containment. In order to maintain a sufficient transfer of heat from the steel dome containment vessel 22 to limit and reduce containment pressure, after the initial three days following a postulated design basis event, the AP1000® passive containment cooling system requires that the water continues to be applied to the containment outside steel surface. The water is provided initially by the passive gravity flow mentioned above. After three days, water is provided by active means initially from on-site storage and then from other on-site or off-site sources. A more detailed understanding of this containment cooling process can be found in U.S. patent application Ser. No. 13/444,932, filed Apr. 12, 2012. In addition, the AP1000® has passive systems to assure that the fuel assemblies in the core remain covered with coolant. In the unlikely event of a primary coolant loop leak, these systems are automatically activated. A coolant loss may involve only a small quantity, whereby additional coolant can be injected from a relatively small high pressure make-up water supply, without depressurizing the reactor coolant circuit. If a major loss of coolant occurs, it is necessary to add coolant from a low pressure supply containing a large quantity of water. Since it is difficult using pumps to overcome the substantial pressure of the reactor coolant circuit, e.g., 2,250 psi or 150 bar, the reactor coolant circuit is automatically depressurized in the event of a major coolant loss so that the coolant water may be added from an in-containment refueling water storage tank, at the ambient pressure within the nuclear reactor containment dome 28. Thus, as shown in FIG. 3, there are two sources of coolant makeup for a loss of coolant in the AP1000® nuclear reactor system. An inlet of the high pressure core make-up tank 42 is coupled by valves to the reactor coolant inlet or cold leg 44. The high pressure core make-up tank 42 is also coupled by motorized valves and check valves to a reactor vessel injection inlet 46. The high pressure core make-up tank 42 is operable to supply additional coolant to the reactor cooling circuit 20, at the operational pressure of the reactor, to make up for relatively small losses. However, the high pressure core make-up tank 42 contains only a limited supply of coolant, though, as can be appreciated from FIG. 3, there are two core make-up tanks in this system. A much larger quantity of coolant is available from the in-containment refueling water storage tank 48, at atmospheric pressure, due to a vent, which opens from the tank 48 into the interior of the containment building 28. U.S. patent application Ser. No. 12/972,568, filed Dec. 20, 2010 (U.S. Publication No. 2012/0155597, published Jun. 1, 2012), and assigned to the assignee of this application, describes in more detail how the reactor system is depressurized so that cooling water can be drained from the in-containment refueling water storage tank 48 into the reactor vessel 10. This invention is an improvement upon the other safety systems of the API000® plant by extending the capability to provide spent fuel pool cooling by minimizing the decay heat emanating from the spent fuel in the spent fuel pool by storing the most recently off-loaded spent fuel from the reactor, in the containment for one fuel cycle before that spent fuel is transferred to the spent fuel pool, as described in co-pending application Ser. No. 14/195,890. This invention supplements that process by enabling the spent fuel pool and the in-containment refueling water storage tank to be cooled by air such that decay heat from both the spent fuel and from the reactor core can be transferred to the environment for an extended period of time. Four weeks or a longer period of time is achievable before water make-up is required, with no reliance on electrical power or external assistance. The modification provided herein would: (i) keep the spent fuel pool water sub-cooled and thus eliminate boiling of the spent fuel pool water and steaming to the environment (storage of the most recently off-loaded spent fuel within the containment over one fuel cycle, disclosed in the above cited copending application, minimizes the heat transfer surface required for air cooling of the spent fuel pool water); and (ii) reduce the rate at which the in-containment refueling water storage tank water is boiled and provide additional heat transfer to the air so that combined with the passive containment cooling system there would be no need for continued water application to the containment external surface after the water in the passive containment cooling system water storage tank has drained (in three days). One preferred embodiment of this invention is to have separate, multiple cooling loops for the spent fuel pool and the in-containment refueling water storage tank as schematically illustrated in FIG. 4, where each loop 50 includes a heat exchanger 52 (heat exchanger 1) which would be submerged in the spent fuel pool or the in-containment refueling water storage tank water, an air-cooled heat exchanger 54 (heat exchanger 2) located in the lower portion of the passive containment cooling system cooling annulus or outside the containment building 22 (as figuratively shown by the dotted line 54 in FIG. 2), and a small surge tank 60 that maintains the coolant level within the cooling loop 50. The loop in effect forms a thermosiphon. Convective movement of the liquid starts when the liquid in the loop is heated at heat exchanger 1 (52) causing it to expand and become less dense, and thus more buoyant than the cooler working fluid in the bottom of the loop 50. Convection moves the heated liquid upward in the system as it is simultaneously replaced by cooler liquid returning by gravity that is cooled by heat exchanger 2 (54). As will be explained hereafter, if the air temperatures at the plant site do not get too cold, the cooling loops do not require any isolation valves or other components in the cooling loops that have to actuate and the cooling loops are always available to operate. Preferably, the coolant loops will contain a refrigerant, such as 245fa which will vaporize, for example, at approximately 180° F. (82° C.) at a pressure of approximately 120 psia (8.3 bar), or at 210° F. (98.9° C.) and 175 psia (12.1 bar). Thus, the heat exchangers 52 (heat exchanger 1) in the spent fuel pool and the in-containment refueling water storage tank will act as the evaporators to vaporize the refrigerant and pressurize the cooling loop in response to the spent fuel pool or the in-containment refueling water storage tank water temperature being heated, while the air cooled heat exchangers 54 (heat exchanger 2) act as condensers; creating a two-phase thermosiphon system which uses the large density difference between the liquid and vaporized refrigerant caused by the temperature change between the spent fuel pool or in-containment refueling water storage tank water and the cooling air to drive the flow of refrigerant and reject heat to the environment. In simpler terms, in this thermosiphon loop 56, the temperature of the water in the spent fuel pool and/or the in-containment refueling water storage tank causes the refrigerant to be heated and change to a gaseous state which pressurizes the cooling loop; the vaporized refrigerant rises to the condensing heat exchanger where the heated vapor is cooled by air and condensed; and gravity forces the liquefied refrigerant to flow back to the evaporating heat exchanger where the cycle is repeated. The air cooled heat exchangers (condensers) 54 are preferably located in the lower portion of the passive containment cooling system cooling annulus 58 (as shown in FIG. 2), thus making use of the passive containment cooling system air flow path to generate natural air circulation into the AP1000® shielding building, down the annulus between the passive containment cooling system air baffle and the inside surface of the shield building 30, up the passive containment cooling system cooling annulus 58 between the containment vessel 28 and the passive containment cooling system air baffle 34, and out through the air exhaust structure 38 at the top center of the shield building 30; or located outside the containment building 22. The passive containment cooling system flow path is designed to be sufficiently large to obtain the air flow required to transfer the spent fuel and core decay heat while keeping the containment pressure below the containment vessel design pressure after three days of water assisted cooling. When the plant is operating normally and the water in the spent fuel pool 24 and the in-containment refueling water storage tank 48 is being maintained at normal temperatures (less than or equal to 120° F. (48.9° C.), the cooling loops 50 will operate at low capacity and the 245fa refrigerant will vaporize such that the refrigerant pressure is less than or equal to 50 psia (3.4 bar). In this mode of operation, the heat transferred from the water to the air is limited by the low temperature difference between the water, the refrigerant, and the air, as well as the fact that the refrigerant vapor density is low which will result in higher flow velocities in the vapor riser pipe. If normal cooling of the spent fuel pool is disabled, or temperatures increase, more refrigerant will vaporize, the pressure in the loop will increase, increasing the refrigerant vapor density and temperature, and thus increasing the heat transferred to the air. It is noted that if the environmental air temperature is low, the cooling loop may over cool the spent fuel pool and the in-containment refueling water storage tank water. In such applications where such a condition is likely, a fail open isolation valve 62 can be added to the cooling loop 50 to enable the operators to isolate the cooling loop and terminate heat transfer. Preferably, the heat exchangers 52 submerged in the spent fuel pool 24 and the in-containment refueling water storage tank 48 (the evaporators) are different than the air cooled heat exchangers 54 (the condensers) in the passive containment cooling system cooling annulus 58 or outside the containment building 22. The evaporating heat exchangers 52 preferably have vertical tubes 64 attached to a lower and upper header pipe, respectively, 66 and 68. Each tube 64 preferably contains an inlet orifice to prevent parallel flow path instability when boiling of the coolant is occurring. The in-containment refueling water storage tank heat exchangers (condensers and their associated evaporators) are sized to transfer enough heat to the environment such that the containment pressurization following the drain down of the passive containment cooling water storage tank 38, three days after drain down initiation, would not exceed the containment design pressure. The spent fuel evaporator heat exchangers 52 also consist of vertical tubes 64 attached to a lower and upper header pipe 66 and 68. Each tube would also contain an inlet orifice to prevent parallel flow path instability when boiling of the coolant is occurring. The spent fuel pool evaporator heat exchangers 52 will be sized to transfer enough heat to the environment such that the spent fuel pool water temperature would not exceed 200° F. (93.3° C.). The spent fuel pool and the in-containment refueling water storage tank condensing heat exchangers 54 would consist of vertical tube heat exchangers 64 attached to a lower and upper header pipe 66 and 68, respectively, in which the tubes are vertically finned to increase their effective surface area, but still allow air flow up through the tube bundle. Preferably, the fins should be slightly wavy to create air turbulence to increase the effective tube to air heat transfer coefficient. The condensers 54 are located adjacent to the containment shell 28 outside surface and positioned inside the passive containment cooling system air baffle 34, just above the air baffle inlet flow guide 72; or placed outside of the containment building 28. FIG. 5 provides a schematic side view of the heat exchangers 52 and 54 with the heat exchanger 52 submerged within the water within the in-containment refueling water storage tank 48 with a screen 74 around the heat exchanger tubes to prevent the flow area between the tubes 64 from fouling. The tube fins in the condenser heat exchanger 54 is shown in the enlarged cross section provided in FIG. 5A. Accordingly, this invention supplements the invention called for in co-pending application Ser. No. 14/195,890 by extending the number of days that the spent fuel can be cooled passively in the event of the failure of on-site and off-site power to a nuclear power plant. The use of a refrigerant within the cooling loop under natural circulation enhances the efficiency of this process over an extended time period. Additionally, the active spent fuel pool cooling systems currently employed will get some additional cooling benefits from the systems described herein that will reduce the spent fuel pool water temperature and thus, the heat load on the current component cooling water systems. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
description
The present application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Patent Application Ser. No. 62/956,109 filed on Dec. 31, 2019, the disclosure of which is incorporated herein by reference in its entirety. The present patent application claims priority under 35 U.S.C. § 720 to U.S. nonprovisional patent application Ser. No. 16/285,199 filed on Feb. 26, 2019. The present patent application is a continuation-in-part (CIP) application of the co-pending application Ser. No. 16/285,199 filed on Feb. 26, 2019. This patent application is related to previous patents by one of the inventors, Henry Crichlow, related to the disposal of various types of waste in deep underground formations (rocks). These patents are: a. U.S. Pat. No. 5,850,614; b. U.S. Pat. No. 6,238,138; c. U.S. Pat. No. 8,933,289; d. U.S. Pat. No. 10,427,191; and e. U.S. Pat. No. 10,518,302; the disclosures of which are all incorporated herein by reference in their entirety. The present invention generally relates to disposing of waste and more particularly, to (a) “self-loading” operations for waste disposal; and (b) utilization of specialized capsules or containers for waste which may be sequestered in lateral wellbores and also in human-made caverns drilled into deep geologic formations, such that, the waste is disposed of safely, efficiently, economically and in addition, if required, may be retrieved for various reasons. A portion of the disclosure of this patent application may contain material that is subject to copyright protection. The owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent file or records, but otherwise reserves all copyrights whatsoever. Certain marks referenced herein may be common law or registered trademarks of third parties affiliated or unaffiliated with the applicant or the assignee. Use of these marks is by way of example and should not be construed as descriptive or to limit the scope of this invention to material associated only with such marks. In this application, the words “capsule,” “container,” and “canister” may be used interchangeably with the same meaning. The term “waste” shall generally relate to any material that may be deemed necessary to be disposed of into underground geological systems (such as underground geological rock formations). Wellbores and human-made caverns relate to drilled out or constructed or human-made “void spaces” fashioned in the deep geological formations for the sequestration of the waste materials. To date, massive drilling operations are required to drill and complete the types of wellbores and caverns needed to sequester waste in deep underground formations safely. These are expensive drilling operations and utilize sophisticated technologies and human resources. After the wellbores are drilled, however, the waste capsules (with waste inside) need to be delivered into the wellbore/caverns and disposed of safely. This can be done by the same drill rig that drilled the original wellbore. However, this is an expensive and a time-consuming means. A less expensive, more rapid means is needed to sequester the thousands of waste capsules (each housing waste) that are contemplated to be disposed of in the waste industry. This invention teaches devices, apparatus, systems, and/or methods, in which the waste material deployment can be done by “self-loading” the waste capsules into the storage wellbores and/or storage caverns without any need for expensive systems and all under the effect of gravity. The current method for emplacing waste capsules is based on existing oilfield technology. For drilling and completion operations, various types of cylindrically-shaped components (often called ‘downhole tools’) are handled by the drilling rig or workover rig crews who are trained to use special equipment to pick up the tools, assemble the downhole tools in longer sections, called “work strings” as necessary, and then lower the downhole tools into the wellbore by progressively adding tubular sections of the ‘work string’ at/from the (Earth's/terrestrial) surface. Each of the tubular sections of these work strings is called a ‘joint,’ and the standard length of each joint is approximately 30 feet. There are threaded connections on each end of a work-string joint called ‘tool joints.’ The design for conventional drilling rigs and workover rigs allows for two or three work-string joints to remain coupled together so they do not have to be laid down horizontally when the work string is removed from the wellbore. Instead, for efficiency of time and operational productivity, the work-string joints are unscrewed in such a manner that either two joints or three joint integral groups can be set back vertically on a designated area of the work floor. These coupled vertical sections of the work string are called ‘stands.’ The top of each stand is racked in a working platform that is built into the mast or derrick of the rig approximately 60-feet or 90-feet above the rig floor. This working platform is called the ‘monkey board.’ Some modern rigs operate efficiently without a human involved, these are automatic monkey boards, but most rigs require a person called a ‘derrick man’ to go up and work on the monkey board. The derrick man guides the tops of the stands into special racking ‘fingers’ where they are secured until the stands are required to be lowered back into the wellbore. Although racking the work string integrally comprising two or three joints in stands, decreases the time involved in emplacing a downhole tool or removing it from the wellbore, there is still a considerable amount of time and mechanical effort involved screwing together or unscrewing each stand and repeating the process hundreds of times. Rigs designed to accommodate stands with three 30-feet work-string joints are called ‘triple’ rigs. Rigs designed to accommodate stands with two 30-feet work-string joints are called ‘double’ rigs. In the prior art, after the waste capsules are delivered to and stored at the well site, a generic procedure for emplacing a given waste capsule (with waste inside) with a modern conventional drilling or workover rig would involve the following sixteen (16) sequential steps: (1) Pick up and set the waste capsules on the automatic ‘catwalk’ of the drilling rig. The catwalk is a long rectangular steel platform or rig equipment that provides a flat, horizontal working space or staging area for assembling downhole tools and preparing them to be picked up by the drilling rig or workover rig. The automatic catwalk can be elevated so that the end next to the rig is raised to the level of the rig floor. It also has a mechanism that allows it to push one end of the downhole tool toward the rig, or conversely, this mechanism can retract when removing downhole tools. Moreover, the automatic catwalk can be controlled remotely to protect the operator from any risk of bodily harm. (2) Using the rig equipment, connect multiple waste capsules together so that they are the required length of a stand of the work string. For a double rig, this would be a total of about 60-feet long. For a triple rig this would be a total of about 90-feet long. The top of this assembled length of waste capsules would have a short section or ‘handling sub’ with the same type of tool joint as the work-string tool joints. (3) Using the rig equipment, raise the end of the catwalk closest to the rig so that it is level with the rig floor. Push the far end of the now assembled stand-length waste capsule group a short distance onto the rig floor to allow the rig hoisting equipment to ‘latch’ onto the top of the tool joint of the handling sub. A specialized piece of hinged equipment called “elevators” are used to latch onto the top of the tool joint. Conventional tool joints have larger outer diameters than the tube bodies of the work string, and the elevators latch around the tube of the work string. When pulled up against the bottom of the tool joint, the weight of the work string is supported by the elevators. (4) Using the rig hoisting equipment, which is basically a very large block and tackle system, the coupled stand-length waste capsule assembly group is picked up and it is suspended vertically over the opening in the rig floor. This opening or ‘wellhead’ is directly above the wellbore. The rig usually has a ‘rotary table’ that is centered directly above the wellbore. The rotary table has a ‘bowl,’ which is an equipment or bushing designed that it can accommodate the ‘slips,’ as described in Step (6) below. (5) After ensuring that any shielding is removed as required, and confirming the waste capsule assembly is ready to be emplaced within the wellbore, lower the waste capsule assembly down into the wellbore. (6) When the handling sub is level with the rotary table, set the ‘slips.’ The slips are a tool with hard metal dies or surfaces that are shaped to fit the outside diameter of the tubular work string. These replaceable dies are fixed to the inside of the body of the slips. The outside body of the slips is wedge-tapered. When set in the space between the opening of the rotary table and the work string, the wedging action by the tapered slips and by the tapered rotary table bowl pushes against the work string, and this force becomes stronger as the work string becomes heavier as each stand is added. (7) As a safety feature, a removable safety clamp is also installed above the slips to ensure that the work string does not drop down the hole. (8) With the slips set, and possibly a safety clamp has also been installed, the elevators can be unlatched so that the rig hoisting equipment can be lifted up to the height of the monkey board so that the derrick man or automatic system can latch onto another one of the stands of the work string. (9) After latching onto a stand of the work string (of the assembly of waste capsules), the stand is picked up by the rig hoisting equipment where it is high enough so that the bottom threaded connection of the stand can be inserted into the threaded connection on the top of the tool joint of the handling sub that is set in the rotary table. (10) The stand (including the assembly of waste capsules) is then screwed into the tool joint of the handling sub and the connection is made up with a precise amount of torque to ensure that the connection cannot be unscrewed inadvertently. (11) The hoisting equipment then picks up the stand, including the stand-length capsule assembly at its lower end, so that safety clamp can be removed, and the slips can be picked up and removed from the rotary table. (12) The work string and waste capsule assembly is lowered into the wellbore and the slips are set just below the tool joint of the top joint in the stand. Since the waste capsule assembly is then 90-feet below the rotary table, precautions to protect the rig crews from radiation (if the waste to be disposed of was radioactive) can be relaxed at this time. (13) Additional stands of the work string are added to the work string and it is lowered one stand at a time until it reaches the bottom of the wellbore. This repetitive operation, which involves assembling stands of the work string and then lowering the work string, is often referred to as ‘tripping into the hole.’ (14) Once the waste capsule assembly is in place, the work string is either manipulated by various methods and/or pump pressure is applied internally to release the waste capsule assembly from the work string. (15) The work string is then pulled out of the wellbore, often termed ‘tripping out of the hole.’ This operation is similar to tripping into the hole, but in reverse. (16) Once the work string has been tripped out of the hole, another waste capsule assembly can then be picked up to repeat the process of emplacing waste capsules in the wellbore. Tripping the work string in and out of the hole usually takes a considerable amount of time, depending on the drilling or workover rig equipment, the expertise of the crews, the wellbore depth, etc. Moreover, this operation entails certain risks. In a typical 10,000-foot wellbore, tripping may require several hours to complete a single trip for each work string (including the assembly of waste capsules) disposed in the wellbore. The above noted prior art current approach to inserting devices or tubular goods into a wellbore is composed of “running” these downhole devices, tools, and/or capsules collectively in sequential strings by joining them together at the surface, then repeatedly deploying the completed strings into the wellbore. As noted, this is usually done with the use of the drilling rig and its equipment or in some cases a specialized smaller rig called a “pulling unit” which is usually less expensive to operate. In either case, it is a tedious, repetitive, and historically accident-prone operation. Operating a full-sized drilling rig can cost upwards of $701,000 per day. This is a major expense to “land” or insert the capsules in a wellbore. Inserting several thousand capsules would cost hundreds of thousands of dollars over several weeks of rig operational time. Furthermore, the rig crews are exposed to moving heavy equipment; and this increases the risk of serious accidents which could result in bodily harm, and which could also include loss of human life. There is also the risk of dropping the work string and damaging the waste capsules due to the weight of the work string directly above the waste capsule assembly. This above noted prior art approach is expensive, prone to accidents, and is a very time-consuming means. A less expensive, safer, more rapid means is needed to sequester the thousands of waste capsules (with waste) that are contemplated to be disposed of in deep boreholes by the waste industry. This invention teaches novel devices, apparatus, systems, and/or methods in which the waste material deployment may be done by “self-loading” the waste capsules into the storage wellbores and/or storage caverns without any need for expensive systems and all under the effect of gravity. It is well known that in gravitational flow in pipes, there is a scientific relation between the settling velocity in the pipe of a body and the viscosity of the fluid through which the body is falling. The final velocity reached by the body under the effects of gravity is called the terminal velocity. This velocity is dependent on the fluid viscosity and the geometry of the body. It is, therefore, possible for a body to be geometrically designed such that its terminal velocity can be controlled while at the same time the viscosity of the fluid medium can also be controlled such that the combination of these modifications provides for a selected terminal velocity that provides for safe and accident-free disposal. This can be readily done by a combination of waste capsule body design and geometry and fluid viscosity manipulation. Waste capsule design geometry may change a rate of the waste capsule falling in the wellbore under gravity due to streamline flow effects around the falling body and further, by the inherent stability of a well-designed waste capsule during the free fall. In addition, manipulation of the fluid viscosity changes, within the wellbore/cavern, can speed up or slow down the rate of descent of the waste capsule through these viscous fluid(s). The prior art does not allow for such simultaneous combinations of streamlined waste capsule design and fluid viscosity properties. In a departure from the prior art of running capsular waste systems into wellbores, this invention proposes to do both. Based on the inherent shortcomings of the prior art, there exists a critical need for an effective, economical method for developing and utilizing an acceptable process for loading the waste capsules (with waste) into the geological storage (e.g., wellbore and/or human made cavern within a deep geological formation); a process that precludes the need for all the expensive, time-consuming, and dangerous surface operations that are currently being used or contemplated, to position the waste capsules in deep underground repositories. An approach is needed that minimizes the steps required for deployment, maximizes the speed of operation, is safer, and is more cost-effective. In order to solve the above-described problems, the present invention provides devices, apparatus, systems, and/or methods to dispose of the waste currently accumulating on the surface and to sequester it in geological formations connected via boreholes, principally with the help of gravity. With this in mind, the devices, apparatus, systems, and/or methods taught in this patent application involves effective cost savings and efficiency gains by implementing a “self-loading,” almost automatic sequestration of the waste capsules from the surface into the wellbore and ultimately into the lateral wellbores and/or storage caverns. It is to these ends that the present invention has been developed. To minimize the limitations in the prior art, and to minimize other limitations that will be apparent upon reading and understanding the present specification, the present invention describes devices, apparatus, systems, and/or methods for loading (e.g., self-loading) waste materials into wellbores and/or human made caverns located within closed and deep geological formations, using waste-capsules (waste containers). Devices, apparatus, systems, and/or methods of disposing of waste in underground rock formations is disclosed by the present invention. “Self-loading” in this patent application may be used to describe processes/methods in which waste capsules (housing waste) may be loaded into wellbores without any external force except that of gravity. In addition, the invention teaches a novel means of loading the waste capsules into the subterranean storage systems by “dropping” the waste capsules from the surface or wellhead, into the wellbores which are fluid-filled with a fluid of known and controlled (predetermined) viscosity. This fluid medium (of predetermined viscosity) acts specifically as a viscous damper, brake or retarder and slows the waste capsules' fall during their descent in the wellbore such that a sufficiently/desired low terminal velocity is reached (or not exceeded). This calculated terminal velocity allows the waste capsules to “land” themselves in the wellbore and/or human made cavern storage systems safely and without significant damage or breakage (to the waste capsules, the wellbores, or to the caverns). This approach allows for rapid deployment of hundreds of waste capsules in a relatively short time. The present invention is concerned with disposing of waste and, more specifically, to devices, apparatus, systems, and/or methods of disposing of encapsulated waste in deep underground closed rock formations using multilateral horizontal boreholes (wellbore) and/or human-made caverns connected to the surface by at least one vertical wellbore. More specifically, the invention describes devices, apparatus, systems, and/or methods in which a novel waste capsule loading system and a novel deployment process are illustrated to provide a safe long-term waste repository. An object of the present invention is to provide a method of disposing of waste in deep underground rock formations. Another object of the present invention is to provide a method of disposing of waste in underground rock formations which will provide for a rapid, repetitive means of safely controlling the disposal of the encapsulated or containerized material waste. It is possible to provide a method of disposing of waste in underground rock formations which will bury the waste in horizontally extending lateral boreholes positioned well below the Earth's surface or into human-made caverns similarly deployed deep in geologic formations and thus very remote from the ecosphere. It is possible to provide a method of disposing of waste in deep closed underground rock formations wherein the design of the waste capsule (or waster container or waste canister) provides several novel features and also allows a rapid deployment of the subject waste capsules into wellbores. In addition, the systems and/or processes taught herein may allow for: personnel safety on the surface during surface and disposal working operations and/or economic and operational efficiencies in sequestering the waste underground. In some embodiments, operational methods may teach landing and/or loading at least one waste capsule into a given wellbore (or system of wellbores). In this operational method, the recommended tasks involved provide a more efficient methodology to allow safer, more economical disposal of the waste in the deep underground repositories. A very significant existing consideration to be addressed may be a need for rapid deployment of the waste material from the surface. These waste capsules may be radioactive in some embodiments; and as such, they need special protected handling and relatively quick disposal times. The shorter the time that these radioactive devices are allowed to be within the working area or storage environment on the surface area of human operation, the less chance there is of an accident of dangerous exposure to humans and/or the environment (ecosphere). In some embodiments, a means may be utilized to manipulate the viscosity of the wellbore fluid medium to control its viscosity. Viscosity modification is a standard process in many industries, and today (2020) can be effectively and cheaply accomplished. In addition, many natural or synthetic fluids have viscosity ranges that would lend them to be an ideal candidate for use as the fluid media in this invention. These naturally occurring and readily available liquids may include heavy oils, sometimes referred to as low gravity crudes. These oils have viscosities that range from flowable liquids to almost semi-solids. They are also very inexpensive, e.g., currently only costing a few dollars per barrel. Synthetic fluids can also be customized and designed to achieve the desired results (e.g., of having a predetermined and predefined viscosity). Further beneficial properties of the viscous fluids may include: that they are generally capable of acting as lubricants in the wellbore, minimizing frictional effects; acting as a heat sink in the wellbore; and/or also acting as a non-corrosive blanket environment inside the wellbore. In some embodiments, the exterior geometry of the given waste capsule is designed or manipulated with the surrounding viscous fluid in mind, to optimize the settling velocity of the falling body (i.e., of the falling waste capsule). This type of design is readily done today. The computational power and simulation platforms available for prototype design are widely available in a host of industries from aerospace to mechanical design. The optimal design of a given waste capsule will not be a major problem given the vast power and ubiquitous nature of design technologies. In some embodiments, the waste capsule system may have added physical means to modify its falling velocity during passage through the wellbore fluid(s). These attachments may change the dynamics of flow around the given waste capsule and also minimize undesired vortices and/or eddies which may create side to side movement (translational), or also rotational movement thereby creating various instabilities in the waste capsule as it falls. In some embodiments, the waste capsule system may have added physical means to minimize the impact of the given waste capsule when it reaches a bottom or when it contacts another capsule already landed in the wellbore. A crushable zone may be implemented as part of the given waste capsule to minimize impact damage (e.g., at the leading edge and/or trailing edge of the given waste capsule). In some embodiments, the waste capsule system may have added physical means to allow the falling waste capsule to connect passively with an already landed waste capsule in the wellbore ahead of the then current falling waste capsule (e.g., such that is a removal of the landed waste capsules is desired, a string of connected waste capsules may be withdrawn from the wellbore). In some embodiments, the waste capsule system may have added physical means to allow for connection and retrievability of the capsule from the wellbore. In some embodiments, one or more intercept or support wellbores may be drilled from the surface of the Earth to intercept and connect to the given human-made cavern at or near the bottom of the given human-made cavern. This embodiment may allow for bi-directional communication of fluid(s) and/or material(s) from the Earth's surface to the internal void space of the given human-made cavern. The utility of this embodiment is that the given human-made cavern and connecting wellbore systems may be purged of fluid(s) and/or material(s) and then allow introduction of different types of fluid(s) as needed/desired to implement the self-loading process. For example, after use, the initial controlled viscosity fluid used in the initial self-loading process may be removed from the given human-made cavern by injecting a displacing fluid in either direction from the Earth's surface to purge that human-made cavern and the wellbore. The final sealing fluid(s) and/or material(s) may then be placed in that human-made cavern. The foregoing and other objects, advantages, and characterizing features will become apparent from the following description of certain illustrative embodiments of the invention. The novel features which are considered characteristic for the invention are set forth in the appended claims. The invention itself, however, both as to its construction and its method of operation, together with additional objects and advantages thereof, will be best understood from the following description of the specific embodiments when read and understood in connection with the accompanying drawings. Attention is called to the fact, however, that the drawings are illustrative only, and that changes may be made in the specific construction illustrated and described within the scope of the appended claims. These and other advantages and features of the present invention are described herein with specificity so as to make the present invention understandable to one of ordinary skill in the art, both with respect to how to practice the present invention and how to make the present invention. With regard to the reference numerals used, the following numbering is used throughout the various drawing figures. 5a self-loading waste disposal system 5a 5b self-loading waste disposal system 5b 5c self-loading waste disposal system 5c 5d self-loading waste disposal system 5d 7 remote waste storage 7 8 wellsite waste storage 8 9 Earth's (terrestrial) surface 9 10 drilling rig 10 10a drill rig floor 10a 15 vertical wellbore 15 15a wellhead 15a 15b secondary support or intercept wellbore 15b 20 primary lateral wellbore 20 20a secondary lateral wellbore 20a 20b S-shaped wellbore section 20b 24 waste 24 25 waste capsule 25 25a leading-edge 25a 25b stabilizer 25b (trailing edge 25b) 25c rear connector 25c 25d steel casing 25d 25e centralizers 25e 25f capsule being transported in clamp 25f 25g capsule being inserted in wellhead of wellbore 25g 25h internal stabilizer fin 25h 25i external stabilizer fin 25i 25j drag vanes 25j 25k capsule body 25k 251 self-coupling system 25l 26a slow or stagnant velocity region 26a 26b increasing velocity region 26b 26c high-velocity region 26c 27 wellbore viscous fluid 27 27a injected sealing fluid (slurry/cementitious) 27a 28 automatic robotic system 28 28a robotic clamp device 28a 28b communicative means 28b 30 cement 30 31 terminal velocity 31 32 casing 32 38 rock formation (deep geological formation) 38 39 capsule counter system 39 40 waste storage cavity 40 700 method of self-loading waste in deep underground formations 700 701 step of designing a capsule system and determining a critical time interval 701 705 step of transporting waste to disposal well site 725 710 step of stacking capsules at well site 710 715 step of storing capsules at well site 715 720 step of using automatic robotic systems to select waste capsule 720 725 step of using a computer-controlled system 725 730 step of checking total quantity of dropped waste capsules 730 735 step of inserting waste capsules into wellbore at prescribed time intervals 735 740 step of determining a time interval for dropping waste capsules 740 745 step of dropping a waste capsule in wellbore 745 750 step of counting dropped/released waste capsules 750 755 step of sealing wellbores/wellhead 755 In the following discussion that addresses a number of embodiments and applications of the present invention, reference is made to the accompanying drawings that form a part thereof, where depictions are made, by way of illustration, of specific embodiments in which the invention may be practiced. It is to be understood that other embodiments may be utilized, and changes may be made without departing from the scope of the invention. In this patent application, the words “well,” “wellbore,” and/or the like may be used interchangeably and refer to cylindrical elements implemented in the design and installation processes. References to well and/or wellbore without use of an accompanying reference numeral may refer to any of the wellbore sections discussed herein, such as, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, and/or S-shaped wellbore section 20b. In this patent application, the words “capsule,” “container,” “carrier tube,” “canister,” and/or the like may be used interchangeably with the same meaning, e.g., specifically referring to at least one waste capsule 25 or portion thereof. In this patent application, the words “waste,” “waste form,” “waste material,” “waste product,” and/or the like are used synonymously and refer to various types of material to be disposed of in a deep geological formation/system. FIG. 1A may be a schematic cross-sectional view illustrating an inclusive overview of at least a portion of a waste disposal wellbore and human-made cavern system 5a. In some embodiments, this waste disposal wellbore and human-made cavern system 5a may comprise at least one human-made cavern 40 and at least one wellbore that connects the surface (Earth's surface 9) to this at least one human-made cavern 40, wherein the at least one human-made cavern 40 may be located within deep-geological-formation 38, and wherein the at least one human-made cavern 40 may be configured for receive/house waste. In some embodiments, the at least one human-made cavern 40 may be configured to receive/house a plurality of waste capsules 25 (with waste). In some embodiments, this waste disposal wellbore and human-made cavern system 5a may further comprise at least one waste capsule 25. In some embodiments, the at least one wellbore may comprise at least one vertical wellbore 15. In some embodiments, the at least one wellbore may comprise vertical wellbore 15 and at least one primary lateral wellbore 20. In some embodiments, the at least one wellbore may comprise vertical wellbore 15, the at least one primary lateral wellbore 20, and at least one secondary lateral wellbore(s) 20a. In some embodiments, vertical wellbore 15, the at least one primary lateral wellbore 20, and/or the at least one secondary lateral wellbore(s) 20a may be as described below in the discussion of FIG. 1D. For example, and without limiting the scope of the present invention, a primary vertical wellbore 15, may be drilled from the surface (Earth's surface 9) to a depth between substantially 5,000 and 30,000 feet from the surface. In some embodiments, the at least one wellbore may terminate in the at least one human-made cavern 40. In some embodiments, the at least one wellbore may terminate into a plurality of human-made caverns 40, see e.g., FIG. 1C. In some embodiments, the human-made cavern 40 may be implemented in the deep geologic formation 38. Implemented from the at least one wellbore may be a plurality of human-made caverns 40 (or at least one human-made cavern 40) which may be disposed at different azimuth angles around the at least one wellbore. In some embodiments, the section of the at least one wellbore that connects to a given human-made cavern 40 may be a S-shaped wellbore section 20b. Continuing discussing FIG. 1B, in some embodiments, one or more curved S-shaped wellbore section(s) 20b may emanate (e.g., derive) from the at least one wellbore, such as, from vertical wellbore 15, from primary lateral wellbore 20, and/or from secondary lateral wellbore 20a. In some embodiments, a given S-shaped wellbore section 20b may connect the at least one wellbore to a given human-made cavern 40. In some embodiments, a given S-shaped wellbore section 20b may comprise a first vertical section connected to a lateral section and finally connected to a final vertical section that connects to the given human-made cavern 40. Continuing discussing FIG. 1B, in some embodiments, because a lateral (horizontal) section of a given curved S-shaped wellbore section 20b may differ in length, because more than one human-made cavern 40 may be implemented from a single curved S-shaped wellbore section 20b, a downward/final vertical section of the given curved S-shaped wellbore section 20b may also vary, e.g., get longer, to allow a sufficient length of vertical wellbore section to exist, such that drilling the curved portion is more easily operationally possible since sufficient weight from that vertical section of wellbore 15 is mechanically transmitted to the drill bit system of the drill rig 10 to enhance the rate of penetration during drilling and reaming operations. In some embodiments, the at least one wellbore, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, curved S-shaped wellbore section 20b, other wellbores, portions thereof, combinations thereof, and/or the like may have predetermined and/or fixed (but possibly different) diameters. For example, and without limiting the scope of the present invention, in some embodiments, such wellbore diameters may be selected from a range of substantially six inches to substantially 48 inches, plus or minus one inch. In some embodiments, the at least one wellbore, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, curved S-shaped wellbore section 20b, other wellbores, portions thereof, combinations thereof, and/or the like may have predetermined and fixed (but possibly different) lengths. For example, and without limiting the scope of the present invention, in some embodiments, such lengths may be selected from a range of substantially two hundred feet to substantially twenty-five thousand feet, plus or minus one foot. In some embodiments, the at least one wellbore, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, curved S-shaped wellbore section 20b, other wellbores, portions thereof, combinations thereof, and/or the like may be “cased.” In some embodiments, such casing may be lining the given wellbore with steel pipe(s) (casing) of fixed, by varying, predetermined sizes (e.g., length, diameter, thickness, etc.) (see e.g., casing 32 in FIG. 3). In some embodiments, it may be contemplated that the steel casings that line the wellbores shall be coupled together by flush steel couplings such that there is a completely smooth internal bore inside the wellbores. This allows for easy and uninterrupted disposal of the self-loaded waste capsules 25 which move down the wellbores. In some embodiments, parts (regions, portions, and/or sections) of a given wellbore may remain without casing; e.g., “open-hole” if a given rock formation 38 may be very well consolidated. In some embodiments, the at least one wellbore, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, curved S-shaped wellbore section 20b, other wellbores, human-made cavern 40, portions thereof, combinations thereof, and/or the like may comprise (e.g., house/have) wellbore viscous fluid 27. In some embodiments, the at least one wellbore, vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, curved S-shaped wellbore section 20b, other wellbores, human-made cavern 40, portions thereof, combinations thereof, and/or the like may be at least partially filled with wellbore viscous fluid 27. In some embodiments, wellbore viscous fluid 27 may be configured to control a velocity (e.g., a terminal velocity 31, see FIG. 5A) of a given waste capsule 25 that may be falling within the waste system 5a. Some examples of wellbore viscous fluid 27 may be: specially modified drilling muds with controlled viscosities; low gravity crude oils; synthetic hydrocarbon derived products; viscous foams; combinations thereof, and/or the like. Note reference numerals 27 (and 27a) in the figures, along with their respective lead lines and/or arrows, are intended to indicate that given wellbore sections, given casings 32 sections, and/or human-made caverns 40 may be filled and/or housing wellbore viscous fluid(s) 27 (and/or) injected sealing fluid(s) 27a. Continuing discussing FIG. 1A, in some embodiments, a given human-made cavern 40 may have a diameter from 12 inches to 720 inches, plus or minus 6 inches. In some embodiments, a given human-made cavern 40 may have a height or vertical length of 500 feet to 10,000 feet plus or minus 701 feet. In some embodiments, the given human-made cavern 40 may be formed by under reaming operations. See e.g., U.S. nonprovisional patent application Ser. No. 16/285,199, filed on Feb. 26, 2019, by inventor Henry Crichlow regarding human-made caverns, making them, and their location within deep geological formation 38. The disclosure of U.S. nonprovisional patent application Ser. No. 16/285,199 is incorporated herein by reference in its entirety. Continuing discussing FIG. 1A, in some embodiments, the waste disposal wellbore system 5a (with or without at least one human-made cavern 40) may also comprise at least one capsule counter system 39. In some embodiments, the at least one capsule counter system 39 may be configured to determine and count how many waste capsules 25 have passed the at least one capsule counter system 39 within a given portion of the at least one wellbore (e.g., a portion of vertical wellbore 15). In some embodiments, the at least one capsule counter system 39 may be attached to a portion of the at least one wellbore. In some embodiments, the at least one capsule counter system 39 may be located below drill rig floor 10a and/or below drilling rig 10. In some embodiments, the at least one capsule counter system 39 may be located proximate to: drill rig floor 10a (see e.g., FIG. 6), drilling rig 10, and/or wellhead 15a (see e.g., FIG. 6), wherein proximate may be 30 feet or less. In some embodiments, the at least one capsule counter system 39 may comprise counting means for counting waste capsules 25. In some embodiments, this counting means may comprise one or more sensors, circuits, controllers (e.g., with processors/microprocessors and with firmware and data storage memory), wherein these elements may be operatively connected to each other. In some embodiments, the one or more sensors may be motion detection based, optics based, ultrasound based, magnetic based, resistance based, inductance based, impedance base, combinations thereof, and/or the like. FIG. 1A also shows remote waste storage 7, wellsite waste storage 8, and drilling rig 10. Remote waste storage 7 may be where the waste to be stored long-term within the given deep geological formation 38 is currently being stored (e.g., in surface pools and/or surface casks). Remote waste storage 7 may be an undesired location for long-term storage of the waste. Remote waste storage 7 may be located remotely with respect to one or more of: drilling rig 10, wellsite waste storage 8, wellhead 15a, vertical wellbore 15, and the like. In some embodiments, remote waste storage 7 may not be part of a given wellbore based waste storage system 5a. In some embodiments, a given remote waste storage 7 may be part of a given wellbore based waste storage system 5a. In some embodiments, wellsite waste storage 8 may be located onsite with respect to one or more of: drilling rig 10, wellhead 15a, vertical wellbore 15, and the like. In some embodiments, wellsite waste storage 8 may be configured for the short term storage of the waste to be stored/disposed of within the given deep geological formation 38. In some embodiments, wellsite waste storage 8 may be configured for the short-term storage of the waste capsules 25 (with waste). In some embodiments, in addition to the at least one wellbore, a given wellbore based waste storage system 5a may further comprise one or more of: drilling rig 10, wellhead 15a, drill rig floor 10a, wellsite waste storage 8, at least one human-made cavern 40, at least one waste-capsule 25, and/or capsule counter system 39. FIG. 1B may be substantially similar to FIG. 1A, except in FIG. 1B, the waste system 5b may further comprise at least one support or intercept wellbore 15b linking the at least one human-made cavern 40 to Earth's surface 9. In some embodiments, system 5b may comprise at least some of the elements of system 5a, and may also comprise at least one support or intercept wellbore 15b. In some embodiments, this secondary intercept wellbore 15b may be designed to intersect/enter the at least one human-made cavern 40 at or near the bottom of that at least one human-made cavern 40. FIG. 1B also illustrates a portion of a sealing fluid 27A injected and lying below the original wellbore viscous fluid 27 which is resident above the sealing fluid 27A. In some embodiments, the waste disposal wellbore and human-made cavern system may further comprise at least one support or intercept wellbore 15b for each human-made cavern 40 in the system (linking that human-made cavern 40 to Earth's surface 9). In some embodiments, the waste disposal wellbore and human-made cavern systems 5a, 5b, 5c, and/or 5d may further comprise one or more of: wellbore viscous fluid 27 and/or injected sealing fluid 27a. In some embodiments, secondary intercepting wellbore 15b may be implemented in the physical system to allow for bi-directional communication between Earth's surface 9 and the given human-made cavern 40. In some embodiments, one or more comparably small diameter wellbores 15b may be drilled adjacent to but separate from the original vertical wellbore 15. In some embodiments, this new small diameter wellbore 15b may generally be drilled very quickly and very inexpensively. In some embodiments, this wellbore 15b may have a diameter of 4 inches to 8 inches, plus or minus 1 inch. In some embodiments, one or more new connecting wellbores 15b may intersect a given human-made cavern 40 at one or more points and provide communicative means (e.g., wellbore/channel) with and from Earth's surface 9, via this at least one support or intercept wellbore 15b. In some embodiments, this communication channel may allow for two-way communication with Earth's surface 9. In some embodiments, this physical communication means may allow injection, circulation, purging, and/or placement of various types of fluids and material forms (e.g., injected sealing fluid 27a) to and from (bi-directionally) Earth's surface 9 to/from a given human-made cavern 40. In some embodiments, at least one support or intercept wellbore 15b may allow, that during the process of disposal, the injection a liquid or slurry material (e.g., injected sealing fluid 27a) into the given human-made cavern 40, wherein that given human-made cavern 40 may be at least partially filled with waste capsules 25 (containing waste), and further that injected liquid/slurry may fill into void spaces that may exist between, and/or in and around the physical waste capsules 25 located within that given human-made cavern 40. In some embodiments, the injection of a sealing, cementitious and/or slurry type of fluid material (e.g., injected sealing fluid 27a) may be injected from Earth's surface 9, via the support wellbore 15b, and into the given human-made cavern 40. In some embodiments, such injection of injected sealing fluid 27a, via the support wellbore 15b, and into the given human-made cavern 40, may then displace/replace at least some of the original viscous fluid 27 that may have been present in the given human-made cavern 40. In some embodiments, injected sealing fluid 27a may be a slurry and/or cementitious. Some examples of injected sealing fluids 27a may be: bentonites or other clay suspensions; tars; bitumen; heavy crude oils; high temperature hydrocarbon derived products; vermiculite activated media; carbon nanotubes; combinations thereof, and/or the like. In some embodiments, injected sealing fluid 27a may have a higher density than wellbore viscous fluid 27. In some embodiments, this injection of the injected sealing fluid 27a into the void spaces between and in and around the waste capsules 25 (located in the given human-made cavern 40 and/or in a wellbore) may provide a long-lived sealing mechanism for this disposal method, such that when the injected sealing fluid 27a are “set up” or hardened/solidified, the waste capsules 25 and the injected sealing fluid 27a essentially form a solid impermeable monolith of buried material, that may be thousands of feet below Earth's surface 9. In some embodiments, injected sealing fluid 27a may be longer lived than wellbore viscous fluid 27. In some embodiments, injected sealing fluid 27a may have greater stability over wellbore viscous fluid 27. Continuing discussing FIG. 1B, in some embodiments, an injection process of injecting injected sealing fluid 27a into a given human-made cavern 40, may involve a reverse circulation operation in which the displacing injected sealing fluid 27a is injected down the original vertical wellbore 15 into the given human-made cavern 40, filling the void spaces between and in and around the waste capsules 25, and displacing the original wellbore viscous fluid 27 via the support wellbore 15b, allowing the original wellbore viscous fluid 27 to reach Earth's surface 9 (and be collected, if needed for re-use). FIG. 1C may be similar to the embodiments shown in FIG. 1A and/or FIG. 1B, but in FIG. 1C, the at least one wellbore may link Earth's surface 9 to a plurality of human-made caverns 40. In some embodiments, this waste disposal wellbore and human-made cavern system 5c may comprise the plurality of human-made caverns 40 and the at least one wellbore that connects the surface (Earth's surface 9) to this plurality of human-made cavern 40, wherein the plurality of human-made caverns 40 may be located within deep-geological-formation 38, and wherein the plurality of human-made caverns 40 may be configured for receive/house waste, such as waste capsules 25 with the waste. In some embodiments, each or at least one human-made cavern 40 in system 5c, may comprise at least one secondary support or intercept wellbore 15b. FIG. 1D may illustrate an inclusive overview of a portion of a waste disposal wellbore system 5d. In some embodiments, this waste disposal wellbore system 5d may comprise at least one wellbore located within a portion of deep-geological-formation 38, wherein that at least one wellbore may be configured to receive at least one waste capsule 25, wherein that at least one waste capsule 25 houses some waste. In some embodiments, this waste disposal wellbore system 5d may also comprise the at least one waste capsule 25. In some embodiments, the at least one wellbore may comprise a vertical wellbore 15, which may be drilled from the surface (e.g., Earth's surface 9 shown in FIGS. 1A and 1n FIG. 1B) to a depth substantially between 5,000 and 30,000 feet from the surface (Earth's surface 9). In some embodiments, this waste disposal wellbore system 5d may also comprise vertical wellbore 15. In some embodiments, vertical wellbore 15 may be accessed from the surface via a wellhead 15a (see e.g., FIG. 6 for wellhead 15a). In some embodiments, this waste disposal wellbore system 5d may also comprise wellhead 15a. In some embodiments, vertical wellbore 15 may be substantially vertical, i.e., substantially parallel with a vector of the Earth's gravitational field. Emanating from this primary vertical wellbore 15 may be a plurality of primary lateral wellbores 20 which are disposed at different azimuth angles around the initial vertical wellbore 15. In some embodiments, at least one of the plurality of primary lateral wellbores 20 may be substantially horizontal, i.e., substantially orthogonal with a vector of the Earth's gravitational field. In some embodiments, at least one of the plurality of primary lateral wellbores 20 may be substantially orthogonal with respect to primary vertical wellbore 15. In some embodiments, this waste disposal wellbore system 5d may also comprise at least one primary lateral wellbore 20. In some embodiments, this waste disposal wellbore system 5d may also comprise the plurality of primary lateral wellbores 20. In some embodiments, at least one secondary lateral wellbore 20a may be drilled from a given primary lateral wellbore 20. In some embodiments, this waste disposal wellbore system 5d may also comprise at least one secondary lateral wellbore 20a. In some embodiments, a surface drilling-rig 10 (see e.g., FIG. 1A and/or FIG. 1B) may be an apparatus that drills vertical-wellbore 15, primary lateral wellbore(s) 20, and/or secondary lateral wellbore(s) 20a; and into which the waste-capsule(s) 25 may be disposed into within a given portion of deep geological formation 38. In some embodiments, this waste disposal wellbore system 5d may also comprise at least one surface drilling-rig 10. In some embodiments, at least some of the wellbores (e.g., at least some of vertical-wellbore 15, primary lateral wellbore(s) 20, and/or secondary lateral wellbore(s) 20a) are located within a given portion of deep geological formation 38. Continuing discussing FIG. 1D, in some embodiments, deep-geological-formation 38 may be located substantially from about 5,000 feet to about 30,000 feet below the Earth's surface 9, plus or minus 1,000 feet. In some embodiments, deep-geological-formation 38 may have geologic properties that make storing waste materials relatively safe and/or desirable. For example, and without limiting the scope of the present invention, in some embodiments, deep-geological-formation 38 may have one or more of the following geologic properties: structural closure, stratigraphically varied, low porosity, low permeability, low water saturation, reasonable clay content, combinations thereof, and/or the like. For example, and without limiting the scope of the present invention, in some embodiments, primary lateral wellbore(s) 20 may be located at a pre-determined depth of at least 10,000 feet below the surface (Earth's surface 9). Continuing discussing FIG. 1D, in some embodiments, at least one waste-capsule 25 may store (e.g., contain/house) at least some waste. In some embodiments, waste-capsule(s) 25 may store (e.g., contain/house) hazardous waste; dangerous waste; radioactive waste; nuclear waste; HLW (high-level solid waste); SNF (spent nuclear fuel); depleted uranium products; depleted uranium penetrators; processed solid or semi solid waste from radioactive waste disposal systems like Hanford (USA); a variety of solid, vitreous, pelletized powdered, suspensions, and other waste-forms; combinations thereof, and/or the like. In some embodiments, waste-capsule(s) 25 may store (e.g., contain/house) waste that could be potentially unsafe if stored long term on the surface (Earth's surface 9), near human habitations, near human activity, near water sources, near surface ecosphere(s), combinations thereof, and/or the like. In some embodiments, drilling-rig 10 may be a typical drilling rig as used in the oil-well drilling industry but with several updated modifications and features to allow safe handling of the radioactive/nuclear waste (such as HLW and/or SNF). See also FIG. 6 and its discussion below. In some embodiments, at least some differences between systems 5a, 5b, 5c, and 5d may be: (1) system 5a (see e.g., FIG. 1A) may comprise at least one human-made cavern 40; (2) system 5b (see e.g., FIG. 1B) may comprise at least one secondary support or intercept wellbore 15b; (3) system 5c (see e.g., FIG. 1C) may comprise a plurality of human-made caverns 40; and (4) system 5d (see e.g., FIG. 1D) may not comprise any human-made caverns 40. In some embodiments, systems 5a, 5b, 5c, and 5d may comprise one or more of: at least one wellbore (vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, and/or S-shaped wellbore section 20b); casing 32; waste capsule 25; waste 24; wellhead 15a; drilling rig 10; drill rig floor 10a; remote waste storage 7; wellsite waste storage 8; wellbore viscous fluid 27; injected sealing fluid 27a; automatic robotic system 28; capsule counter system 39. Discussions of wellbores and/or their sections/segments, may be applicable systems 5a, 5b, 5c, and/or 5d. In some embodiments, at least some portion of systems 5a, 5b, 5c, and 5d may be located entirely within a given deep geological formation 38. FIG. 2A may be a block diagram illustrating construction and/or elements of a given waste capsule 25. In some embodiments, a given waste capsule 25 may comprise a capsule body 25k. In some embodiments, this capsule body 25k may be a main carrier/housing of the waste material which is to be disposed of in the geological formation 38. In some embodiments, capsule body 25k may be a substantially cylindrical elongate member. In some embodiments, capsule body 25k may be substantially hollow, wherein this hollow space may be configured for receiving waste (see e.g., FIG. 2B). In some embodiments, capsule body 25k may be a steel casing 25d. In some embodiments, capsule body 25k may be substantially constructed from steel casing 25d. In some embodiments, the capsule body 25k may be constructed of a very durable material, such as a metal, metal alloys, like a metal, a non-ferrous composite or a combination of durable and long lived materials. In some embodiments, the capsule body 25k may be constructed of a generally robust non-durable or “disposable” material which would still allow safe deployment of the waste (which may be inside of capsule body 25k) and be deployable under the field operating conditions. In some embodiments, a given waste capsule 25 may be considered temporary packaging of/for the waste, with the given waste capsule 25 only needing to remain structurally intact until disposed of in the primary long-term protective mechanism of the given deep geological rock formation(s) 38, not the mechanical package itself of the given waste capsule 25. Continuing discussing FIG. 2A, in some embodiments, a shape and physical geometry of a given waste capsule 25 may be determined by engineering, analytical simulations, computations, combinations thereof, and/or the like, to optimize the shape and behavior of the given waste capsule 25 as it falls down a wellbore contemplated herein and through wellbore viscous fluid 27. In some embodiments, such engineering, analytical simulations, computations, combinations thereof, and/or the like may result in a given waste capsule 25 being substantially streamlined with respect to wellbore viscous fluid 27. Continuing discussing FIG. 2A, in some embodiments, the substantially elongate cylindrical member of capsule body 25k may be bound at one end, its nose, by a leading edge 25a, and capsule body 25k may be bound at its opposite end by a tail, or trailing edge (e.g., rear connector 25c). In some embodiments, a given waste capsule 25 may comprise a nose (leading edge 25a), capsuled body 25k, and a tail (trailing edge). In some embodiments, the nose may lead into capsule body 25k, and then capsule body 25k may terminate at the tail (trailing edge). In some embodiments, the nose may be conical, pointed, rounded, domed, and/or generally streamlined with respect to wellbore viscous fluid 27. In some embodiments, the nose (leading edge 25a) may be the front end of a given waste capsule 25; whereas, the tail (trailing edge) may be the rear/back of given waste capsule 25. In some embodiments, a given waste capsule 25 may have a generally streamlined body that allows for/facilitates/promotes/encourages fluid flow around its nose (leading edge 25a) and its sides of the capsule body 25k as the given waste capsule 25 moves down at least one of the wellbores contemplated herein (e.g., vertical wellbore 15, primary lateral wellbore 20, secondary lateral wellbore 20a, and/or S-shaped wellbore section 20b) and through the wellbore viscous fluid 27. Continuing discussing FIG. 2A, in some embodiments, leading edge 25a may comprise a connector and the tail/trailing edge may comprise a rear connector 25c. In some embodiments, with respect to a single waste capsule 25, the leading edge 25a connector may be disposed opposite of the rear connector 25c. In some embodiments, a leading edge 25a connector of one waste capsule 25 may be configured to attached to the rear connector 25c of a different waste capsule 25. In some embodiments, a falling velocity of one waste capsule 25 may provide sufficient energy for the leading edge 25a connector of that falling waste capsule 25 to be attached to the rear connector 25c of a different waste capsule 25 located downstream of the falling waste capsule 25. In this manner, a string of self-connected waste capsules 25 may be formed within a given wellbore contemplated herein, wherein such a string may be comprised of a plurality of waste capsules 25 connected serially, end to end (see e.g., FIG. 2D). In some embodiments, in the self-loading process, the lower waste capsule's 25 rear connector 25c may slide open during the collision contact with the leading edge 25a of the upper waste capsule 25 to form a self-coupling system 25l. Such self-connecting may be similar to how a series of railcars “self-connect” using a self-coupling system used during coupling to automatically make up a full train. Continuing discussing FIG. 2A, in some embodiments, leading edge 25a may comprise a crash impact attenuator. In some embodiments, leading edge 25a may be configured to function as a crash impact attenuator. In some embodiments, crash impact attenuator may protect that waste capsule 25 from undesired impacts. In some embodiments, leading edge 25a may comprise one or more of: crumble/crush zones, springs, shock absorbers, foam, combinations thereof, and/or the like, that may function as the crash impact attenuator. Continuing discussing FIG. 2A, in some embodiments, the tail (e.g., trailing end) of a given waste capsule 25 may comprise one or more stabilizers 25b. In some embodiments, a given stabilizer 25b may be configured to provide motion stability and/or to limit oscillations of/to the given waste capsule 25 during falling of that given waste capsule 25 in the given wellbore and through the wellbore viscous fluid 27. See also FIG. 2C regarding types of stabilizers for a given waste capsule 25. Continuing discussing FIG. 2A, in some embodiments, rear connector 25c may have multiple features. In some embodiments, the rear connector 25c may have a “fishing head” which allows the given waste capsule 25 to be grabbed by a fishing tool from Earth's surface 9 (wellhead 15a) and retrieved from the wellbore(s), if needed/desired. Continuing discussing FIG. 2A, in some embodiments, a given waste capsule 25 may comprise one or more centralizers 25e disposed on the outside of the capsule body 25k. In some embodiments, these centralizers 25e allow the waste capsule 25 to “stand off” from the wellbores interior surfaces (and/or from the wellbores casing interior surfaces). In some embodiments, an outside diameter of a given waste capsule 25 (e.g., at capsule body 25k) may be fixed and predetermined. In some embodiments, an outside diameter of a given waste capsule 25 (e.g., at capsule body 25k) may be fixed, and may be from 4 inches to 24 inches, plus or minus 2 inches. In other embodiments, the outside diameter of the given waste capsule 25 (e.g., at capsule body 25k) may be another fixed and predetermined dimension. In some embodiments, the outside diameter of the given waste capsule 25 (e.g., at capsule body 25k) may be governed/limited by: wellbore and/or wellbore casing inside diameters; and/or by the quantity and/or the density of the waste material being disposed of. For example, and without limiting the scope of the present invention, larger outside diameter waste capsules 25 may be necessary/desired for wastes of lower density, which are being disposed of in generally shallower wellbore of cavern systems. FIG. 2B shows a generalized cross-section through a diameter of a given waste capsule 25. In some embodiments, hollow volume/space within a given waste capsule 25 may be configured for housing waste 24. In some embodiments, the waste 24 within a given waste capsule 25 may be amorphous; or may have a predetermined shape and/or a predetermined configuration. FIG. 2C may illustrate three block diagram view examples of three tail portions of waste capsules 25 that may implement various stabilizers 25b (e.g., internal fins 25h and/or external fins 25i) and/or drag vanes 25j of the given waste capsule 25. In some embodiments, the tail portion (trailing edge portion) of a given waste capsule 25 may comprise one or more: stabilizers 25b, internal stabilizer fins 25h, external stabilizer fins 25i, drag vanes 25j, combinations thereof, and/or the like. FIG. 2C may illustrate the types of the stabilizer system 25b that may be implemented on a given waste capsule 25. In some embodiments, stability may be incurred by either of two methods. For example, and without limiting the scope of the present invention, one may “fin it” or “spin it,” to accomplish stable motion of the given capsule 25 within a given wellbore and falling through the wellbore viscous fluid 27. In some embodiments, in finning a given waste capsule 25, a plurality of external fins 25i may be implemented at or near the rear end of that given waste capsule 25. In some embodiments, a given external fin 25i may extend orthogonally away from a main longitude of that given waste capsule 25. In some embodiments, in finning a given waste capsule 25, internal fin system 25h may be implemented at or near the rear end of that given waste capsule 25. In some embodiments, a given waste capsule 25 may have drag vanes 25j implemented at or near the rear end of that given waste capsule 25. Such stabilizers may be well known in the arts of aerodynamics and/or with respect to submersibles (e.g., submarines and/or torpedoes); however, those teachings may need to be modified to applied to the inventions/embodiments contemplated herein, wherein it may be desired to control the velocity and/or the location of the given waste capsule 25 that may be falling within a given wellbore and within the wellbore viscous fluid 27. In some embodiments, the trailing end of the waste capsule 25 may be modified such that rear connectors 25c may be implemented on the waste capsule 25 as needed/desired. FIG. 2D may illustrate a generalized cross-sectional diagram view in which several waste capsules 25 that are connected together, serially end to end, after they have been self-loaded into a given wellbore from Earth's surface 9 and the self-locking connections 251 between the serially adjacent/sequential waste capsules 25 are triggered on contact between adjacent waste capsules 25. The self-locking connection 251 occurs on contact between two waste capsules 25 when the leading edge 25a of the upper (backward) waste capsule 25 is brought to a stop on contact with the trailing edge rear connector 25c of the forward waste capsule 25. In this manner several (a plurality) waste capsules 25 may be linked up, end to end, to form a waste disposal assembly in the given wellbore(s). FIG. 3 may illustrate an inclusive overview showing a cross-section of a given wellbore system and a waste capsule 25 disposed of and falling inside of that portion of wellbore. In addition, FIG. 3 shows the wellbore section with a protective annular cement sheath 30 between some surfaces of the deep geologic formation 38 and a casing 32; that is, at least portions of the interior surfaces of the wellbore, that are surfaces of deep geologic formation 38, may be cased with casing 32, and in between casing 32 and these surfaces of deep geologic formation 38 may be an annular ring that may be filled with cement 30. In some embodiments, casing 32 may be pipe(s) and/or piping. In some embodiments, casing 32 may be steel pipe(s) and/or steel piping. In some embodiments, disposed inside of casing 32 (and/or the wellbore sections in some embodiments) may be wellbore viscous fluid 27. In some embodiments, this wellbore viscous fluid 27 may have a known and predetermined viscosity that man be modified and made such that the wellbore viscous fluid 27 properties allow/help/assist/facilitate/promote the given waste capsule 25 to slow down its settling velocity of the falling waste capsule 25 and allows a manageable terminal velocity 31 to be achieved of the given waste capsule 25 while it is falling in a given section of wellbore/casing 32. In some embodiments, the given waste capsule 25 may be sized to allow an acceptable space for the wellbore viscous fluid 27 to flow past and around the exterior of the given falling waste capsule 25. In some embodiments, the given waste capsule 25 may comprise leading edge 25a and trailing edge 25b, wherein leading edge 25a and trailing edge 25b may be disposed opposite from each other. FIG. 4 may illustrate a conceptual view showing a cross-section of a portion of a given wellbore, showing a portion of a given wellbore section and showing a portion of a given waste capsule 25 disposed of inside and falling within that given wellbore section, wherein that given wellbore section may be drilled and/or located within deep geological formation 38. In addition, FIG. 4 shows a velocity profile of the wellbore viscous fluid 27 as it moves around that falling waste capsule 25 and between the waste capsule 25 exterior surfaces and interior surfaces of that given wellbore section (in some embodiments, the interior surfaces may be inside surfaces of casing 32 and/or of deep geological formation 38). In some embodiments, at a tip/apex of leading edge 25a of waste capsule 25 there may be a nearly stagnant region or zone 26a. In some embodiments, towards where leading edge 25a transitions into an exterior side wall of the given waste capsule 25 (e.g., at an exterior of capsule body 25k) and proximate to a nearest inside/interior surface of the wellbore section there is a region of increasing velocity of the wellbore viscous fluid 27, denoted in FIG. 4 as increasing velocity region 26b. At the narrowest section of the annular region between the capsule 25 and that wellbore section, the wellbore viscous fluid velocity may be at its greatest, denoted in FIG. 4 as high-velocity region 26c. In some embodiments, this type of velocity profile shown in FIG. 4 may be routinely modeled and/or calculated today using finite element analysis models and/or computational fluid dynamics. These models may include physical geometry properties of a given waste capsule 25; and/or these models may include rheological properties of the wellbore viscous fluid 27; and/or these models may include physical properties of the wellbore sections/casings 32, such as wall roughness. By varying the definitive properties of the wellbore viscous fluid 27, an optimal computer model may be constructed which may be used by engineers to formulate an operations model that should make the waste capsules 25 settle at a rate which makes operational sense. By selecting the optimal properties which provide a critical terminal speed 31, the engineers may stage the dropping of the waste capsules 25 such that a near continuous stream of waste capsules 25 are deployed safely in the wellbore systems. As an example, if a critical time of deployment is calculated of 15 seconds is determined, the operator may automatically drop a waste capsule 25 every 15 seconds and the waste capsules 25 may follow each other down the wellbores in a stable sequence. In this manner several hundred waste capsules 25 may be deployed efficiently in a matter of hours. FIG. 5A and FIG. 5B may graphically illustrate a concept of terminal velocity 31 which is important to at least some embodiments of this invention. Terminal velocity 31 occurs when the gravitational forces balance the frictional forces of a given fluid acting on the body in motion, i.e., when the falling body reaches its terminal velocity 31, that falling body then ceases to accelerate further (despite being under acceleration due to gravity) and may attain a relatively stable velocity. As shown in FIG. 5A a body reaches a terminal velocity 31 after a specified time of falling as a result of gravity in a given fluid. In FIG. 5B the effects of varying fluid viscosity on terminal velocity 31 is shown. FIG. 5B shows an inverse relationship between settling velocity and viscosity. This type of relationship provides an operational basis for selecting an optimal viscosity and a critical time interval for self-loading of waste capsules 25 in wellbores. These physics principals facilitate self-loading of waste capsules 25 in wellbores as taught herein. In this patent application, the inventors may predetermine a given terminal velocity 31 to be utilized and/or targeted, by manipulating the physical geometry of the waste capsules 25; and/or the fluid characteristics of the wellbore viscous fluid 27; and/or surface properties of the interior/inside surfaces of the wellbore/casing 32. In some embodiments, this terminal velocity 31 may be optimized such that a suitable and adequate critical time interval between dropping of the waste capsules 25 may be determined and utilized with ease, thus providing for smooth and safe field operations at the disposal well site. FIG. 6 shows a perspective view of a portion of a generalized drill rig floor 10a showing some surface operations of embodiments of the invention. FIG. 6 may show a robotic system and a waste capsule 25 being loaded into a wellbore wellhead 15a at the surface using the robotic system. FIG. 6 may illustrate at least some processes and equipment for the disposal of waste capsules 25 involved in the self-loading surface operations which occur on the drill rig floor 10a of the drill rig 10. In some embodiments, this subject patent application may teach the use of an automatic robotic system 28, such that the waste material is safely handled (in some embodiments/applications, the waste may be radioactive, dangerous, hazardous, and/or the like). It is contemplated that adequate safety shielding using recommended shielding material and/or shielding equipment may be implemented on/at the rig floor area 10a for personnel safety. Shielding may include partitions and enclosures, constructed of dense materials (e.g., substantially constructed of lead), to minimize exposure and/or contamination. In some embodiments, radiation protection may not be required/needed after the waste capsules 25 are inserted into the wellbore and are at least several feet below Earth's surface 9 level (i.e., at least several feet below wellhead 15a). Continuing discussing FIG. 6, in some embodiments, automatic robotic system 28 may be configured for handling waste capsules 25. In some embodiments, automatic robotic system 28 may be configured for grabbing waste capsules 25. In some embodiments, automatic robotic system 28 may be configured for inserting/dropping waste capsules 25 into wellhead 15a. In some embodiments, automatic robotic system 28 may be located on a portion of drill rig floor 10a. In some embodiments, automatic robotic system 28 may be located at or proximate to a portion of drill rig floor 10a. In some embodiments, automatic robotic system 28 may be attached (mounted) to a portion of drill rig floor 10a. In some embodiments, drilling rig 10 may comprise automatic robotic system 28. In some embodiments, drill rig floor 10a may comprise automatic robotic system 28. In some embodiments, at least one of the systems contemplated herein may further comprise at least one automatic robotic system 28. In some embodiments, a given automatic robotic system 28 may comprise a robotic arm, that may terminate in a robotic clamp device 28a. In some embodiments, robotic clamp device 28a may be configured for repeatedly grabbing and releasing a single waste capsule 25 at a time. In some embodiments, automatic robotic system 28 may comprise communicative means 28b. In some embodiments, communicative means 28b may be an operative link to control architecture, wherein the control architecture may be used to control automatic robotic system 28. In some embodiments, communicative means 28b may operate via wired connection(s) to the control architecture and/or via wireless connection(s) to the control architecture. In some embodiments, the control architecture may be a computer, such as, but not limited to, a tablet computing device, a laptop computer, a smartphone, a server, a work station, a desk top computer, a tower computer, a microcomputer, a mainframe computer, combinations thereof, and/or the like. In some embodiments, communicative means 28b may comprise one or more: cables, wires, buses, antennas, combinations thereof, and/or the like. In some embodiments, automatic robotic system 28 may comprise one or more: robotic clamp device 28a; communicative means 28b; robotic arms; motors; gears; pulleys; levers; actuators; hydraulics; pistons; rams; axles; rods; struts; joints; hinges; springs; circuits; printed circuits; printed circuit boards (PCBs); controllers; programmable logic controllers (PLCs); processors; microprocessors; memory (for non-transitory storage of computer programs, software, firmware, and/or data); sensors; cameras; microphones; speakers; screens; keyboards; lights; alarms; inputs/outputs (I/O) means; power sources; combinations thereof, and/or the like. In some embodiments, automatic robotic system 28 may be a modified “iron roughneck.” Continuing discussing FIG. 6, in some embodiments, automatic robotic system 28 may be operated remotely via computerized controls and software. In some embodiments, this automatic robotic system 28 may be connected, via communicative means 28b, to an adjacent or a remote means, the control architecture, which may allow the robotic arm of the automatic robotic system 28 to function and provide for the selection, transport locally, and insertion/dropping of the waste capsule 25 into the wellhead 15a. In some embodiments, attached to and usually forming an integral part of the automatic robotic system 28, may be a securing system, i.e., robotic clamp device 28a, which may grab and hold (and release) a given waste capsule 25. In FIG. 6, reference numeral 25f denotes a given waste capsule 25 being handled (e.g., grabbed) by the robotic clamp device 28a. In some embodiments, reference numeral 25f may show given waste capsule 25 being transported by the robotic clamp device 28a. Whereas, in FIG. 6, reference numeral 25g denotes a given waste capsule 25 having been released from the robotic clamp device 28a at/above the wellhead 15a, such that the now released capsule 25 may fall into the wellbore system located immediately below wellhead 15a, and such that this now released and falling waste capsule 25 may self-load itself into the given waste disposal system. In normal operations, the waste capsules 25, prior to insertion into wellhead 15a, may be stacked in a series of stacking equipment or means which are routinely available in the drilling industry for efficiently stacking tubular goods on the drill rig floor 10a of the drilling rig 10. In a series of repetitive/iterative operations, the automatic robotic system 28 selects, transports, and then loads the waste capsule 25 into the wellhead 15a, wherein the inserted given waste capsule 25 then falls (self-loads) into the wellbore system and the wellbore viscous fluid 27 within that wellbore system. In some embodiments, such a process may continue until a predetermined quantity of the waste capsules 25 are loaded into the waste repository system, for ultimate long-term storage/disposal in the subject deep geological formation 38. FIG. 7 may depict a flowchart. FIG. 7 may depict various steps of a method 700. In some embodiments, method 700 may be a method for self-loading waste in deep geologic formation(s) 38. In some embodiments, method 700 may be a method for designing the waste capsules 25 and specific wellbore viscous fluid 27 to allow for efficient self-loading of waste capsules 25 in wellbore systems with the wellbore viscous fluid 27. In some embodiments, method 700 may comprise steps of designing, drilling, and completing the wellbores and/or the human-made cavern(s). In some embodiments, method 700 may comprise one or more of: step 701, step 705, step 710, step 715, step 720, step 725, step 730, step 735, step 740, step 745, step 750, and/or step 755. Continuing discussing FIG. 7, in some embodiments, step 701 may be a step of designing, determining, optimizing, selecting, and/or constructing: the waste capsules 25; an optimal/desired viscosity and/or other fluid properties for wellbore viscous fluid 27; a critical time interval for self-loading the capsules 25 into the wellhead 15a; sizes (e.g., diameters and/or lengths) of the wellbores; wellbore casings 32, if any; sizes (e.g., diameters and/or lengths) and quantity of human-made caverns 40, if any; combinations thereof, and/or the like. In some embodiments, step 701 may be completed, or partially completed, before the wellsite is ready and prepared for operational disposal of the waste. In some embodiments, step 701 may involve the required drilling and completion operations to implement the wellbore systems and/or human-made cavern(s) 40. In some embodiments, a plurality of wellbores and/or a plurality (or at least one) human-made cavern(s) 40 may be disposed at/below the given Earth's surface 9 location. In some embodiments, this work may be implemented by independent drilling operators working under negotiated contracts for services. In some embodiments, step 701 may progress into step 705. Continuing discussing FIG. 7, in some embodiments, step 705 may be a step of transporting a predetermined quantity of waste capsules 25 (with waste) to the disposal wellsite. In practice, this waste capsule 25 transport operation may be completed under the direction and supervision of federal, state, and/or local regulatory requirements. In some embodiments, step 705 may progress into step 710. Continuing discussing FIG. 7, in some embodiments, step 701 may occur away from subterranean storage location site; i.e., away from below where deep-geological-formation 38 may be located. In some embodiments step 701 may occur at a facility remote from the well site location. In some embodiments, part of step 705 may occur away from subterranean storage location site; i.e., away from below where deep-geological-formation 38 may be located. Continuing discussing FIG. 7, in some embodiments, step 710 may be a step of stacking the waste capsules 25 at the disposal wellsite. In some embodiments, it is contemplated that the waste capsules 25 are stored in well designed, well-protected facilities which provide for minimal exposure to radiation and/or dangerous effects of the waste. In some embodiments, this stacking process may be designed such that the ensuing operations of automatically selecting and loading the waste capsules 25 may be expedited and performed as easily and efficiently as possible. In some embodiments, step 710 may progress into step 720. Continuing discussing FIG. 7, in some embodiments, step 715 may be a step of storing the waste capsules 25 in stacks at the disposal wellsite. In some embodiments, step 715 may progress into step 710. In some embodiments, step 715 and step 710 may occur concurrently. In the stacking and storage steps of step 710 and step 715, respectively, the waste capsules 25 may be optimally located and pre-positioned on the wellsite such that wellsite operations of selecting and loading the waste capsules 25 may be expedited and performed as easily and efficiently as possible. In some embodiments, the waste capsules 25 may be moved, stacked, and/or stored under protective conditions, at the disposal wellsite, such that any radioactive exposure/contamination is limited at the well site. Continuing discussing FIG. 7, in some embodiments, step 720 may be a step of using automatic robotic system 28 to select, grab, and move/transport one or more capsules 25 from stacked/storage to the disposal wellhead 15a for insertion into the vertical wellbore 15. In some embodiments, in step 720 automatic robotic system 28, e.g., as shown in FIG. 6, may be used to select, move, transport, and position a given waste capsule 25 at wellhead 15a. In some embodiments, step 720 may be controlled and/or performed under the direction of a control system, e.g., the control architecture, as illustrated in step 725. In some embodiments, step 725 may be a step of controlling automatic robotic system 28. In some embodiments, step 725 may be a step of controlling automatic robotic system 28, with respect to step 720. In some embodiments, step 725 may progress into step 720. In some embodiments, step 725 may control step 720. Continuing discussing of FIG. 7, in some embodiments, step 735 may be a step of inserting and/or dropping waste capsules 25 into wellhead 15a, into the initial (e.g., top most) vertical wellbore 15 section at prescribed time intervals. In some embodiments, step 735 may illustrate processes of placing/positioning one or more waste capsules 25 and inserting (and then dropping) such waste capsules 25 into the disposal wellhead 15a. In some embodiments, in this step 735, the actions of the automatic robotic system 28 may be orchestrated at known (e.g., known by the system) and predetermined timed intervals. In some embodiments, these predetermined timed intervals may be determined/calculated/modified per step 701 and/or per step 740. In some embodiments, step 740 may lead into step 735. In some embodiments, step 740 may be a step of determining/calculating/modifying a time interval for dropping waste capsules 25 into the wellhead 15a. In some embodiments, this step 740 may provide a timing mechanism/means, such that the waste capsules 25 inserted for falling effortlessly into the wellbore system in sequence without undesired congestion, collision, and/or crashes. In some embodiments, step 735 may progress into step 745. Continuing discussing FIG. 7, in some embodiments, step 745 may be a step of dropping a waste capsule into the wellbore system. In some embodiments, step 745 may illustrate processes of releasing the waste capsules 25, at the predetermined timed intervals, into the disposal wellhead 15a, such that the dropped waste capsules 25 may fall into and through at least a portion of the wellbore system. In some embodiments, concurrent with step 745 may be step 750. In some embodiments, step 750 may be a step of counting a quantity of waste capsules 25 that have been dropped into the wellbore system. In some embodiments, step 745 may progress into step 730. Continuing discussing FIG. 7, in some embodiments, step 730 may be a step of checking the total quantity of waste capsules 25 that have been dropped into the wellhead 15a. In some embodiments, step 730 may feed/loop back into step 720. In some embodiments, step 730 may illustrate a process in which a check or audit is made of a total quantity of waste capsules 25 which have been disposed of (i.e., released and dropped) within that wellhead 15a. If the required quantity has not been reached, then step 730 returns method 700 to step 720 to reinitiate the selection of another waste capsule 25 for insertion into the wellhead 15a. If the required quantity has been reached, then step 730 progresses to step 755. Continuing discussing FIG. 7, in some embodiments, step 755 may be a final step in method 700. In some embodiments, step 755 may be a step of sealing wellbores, sealing human-made cavern(s) 40, and/or closing the wellhead 15a. In some embodiments, in step 755 the wellbores and/or the human-made cavern(s) 40 may be sealed as required by regulatory requirements and/or practices. In some embodiments, in step 755, injected sealing fluid 27a may be designed and/or implement this sealing process. As noted above (e.g., in the FIG. 1B discussion), injected sealing fluid 27a may be injected as a flowable slurry and/or cement, that may cure and harden around the disposed of waste capsules 25. As noted above, injected sealing fluid 27a may form a consolidated monolithic byproduct with the disposed of waste capsules 25, in the wellbore(s) and/or in the human-made cavern(s) 40. In practice injected sealing fluid 27a may be designed by varying its physical and rheological properties. Among such properties that may be manipulated are for example, density, viscosity, settling time, and/or flowability or pumpability of the injected sealing fluid 27a, which upon injection may be a flowable slurry and/or cement. All of these modifying methods and processes are well known in an industry where cement modification, injection and pumping applications have been performed millions of times worldwide. When “set up” (cured and/or hardened) injected sealing fluid 27a may provide an almost impermeable matrix with the disposed of waste capsules 25, that may be long lived in terms of geologic time scales, and generally immovable. In some embodiments, injected sealing fluid 27a may injected into the disposal system via secondary support or intercept wellbore 15b and/or via the other main wellbores. Systems and methods for the self-loading in deep geological storage of waste capsules have been described. The foregoing description of the various embodiments of the invention has been presented for the purposes of illustration and disclosure. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the above teaching without departing from the spirit of the invention. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention is not to be limited to the disclosed embodiments, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.
description
The invention relates to a system for removing decay heat from a nuclear reactor. In nuclear reactors designed with passive operating systems, the laws of physics are employed to ensure that safe operation of the nuclear reactor is maintained during normal operation or even in an emergency condition without operator intervention or supervision, at least for some predefined period of time. A nuclear reactor 5 includes a reactor core 6 surrounded by a reactor vessel 2. Water 10 in the reactor vessel 2 surrounds the reactor core 6. The reactor core 6 is further located in a shroud 122 which surround the reactor core 6 about its sides. When the water 10 is heated by the reactor core 6 as a result of fission events, the water 10 is directed from the shroud 122 and out of a riser 124. This results in further water 10 being drawn into and heated by the reactor core 6 which draws yet more water 10 into the shroud 122. The water 10 that emerges from the riser 124 is cooled down and directed towards the annulus 123 and then returns to the bottom of the reactor vessel 2 through natural circulation. Pressurized steam 11 is produced in the reactor vessel 2 as the water 10 is heated. A heat exchanger 35 circulates feedwater and steam in a secondary cooling system 30 in order to generate electricity with a turbine 32 and generator 34. The feedwater passes through the heat exchanger 35 and becomes super heated steam. The secondary cooling system 30 includes a condenser 36 and feedwater pump 38. The steam and feedwater in the secondary cooling system 30 are isolated from the water 10 in the reactor vessel 2, such that they are not allowed to mix or come into direct contact with each other. The reactor vessel 2 is surrounded by a containment vessel 4. The containment vessel 4 is designed so that water or steam from the reactor vessel 2 is not allowed to escape into the surrounding environment. A steam valve 8 is provided to vent steam 11 from the reactor vessel 2 into an upper half 14 of the containment vessel 4. A submerged blowdown valve 18 is provided to release the water 10 into suppression pool 12 containing sub-cooled water. During a loss of feedwater flow, the nuclear reactor 5 is designed to respond by scramming the reactor core 6, flooding the containment vessel 4 or depressurizing the reactor vessel 2. The latter two of these responses result in the nuclear reactor 5 being shut down and unable to generate electricity for an extended period of time. Furthermore, during a loss of coolant condition where coolant is expelled from the reactor vessel 2, a flow of coolant through the reactor core 6 is reduced. This increases the time needed to bring the reactor core temperatures down to a desired level. The present invention addresses these and other problems. A power module assembly is disclosed as comprising a reactor housing, a reactor core located in a lower portion of the reactor housing, and a heat exchanger proximately located about an upper portion of the reactor housing. The primary coolant flows out of the reactor housing via the upper portion, and the primary coolant flows into the reactor housing via the lower portion. The power module assembly further comprises a passageway provided in the reactor housing intermediate the lower portion and the upper portion, wherein the passageway is configured to provide an auxiliary flow of primary coolant to the reactor core to augment the flow of the primary coolant out of the upper portion of the reactor housing and into the lower portion. A nuclear reactor module is disclosed as comprising a reactor vessel and a reactor housing mounted inside the reactor vessel, wherein the reactor housing comprises a shroud and a riser located above the shroud. A heat exchanger is proximately located about the riser, and a reactor core is located in the shroud. The nuclear reactor module further comprises a steam generator by-pass system configured to provide an auxiliary flow path of primary coolant to the reactor core to augment a primary flow path of the primary coolant out of the riser and into the shroud, wherein the auxiliary flow path of primary coolant exits the reactor housing without passing by the heat exchanger. A method of cooling a nuclear reactor is disclosed. A primary coolant is circulated through a reactor housing comprising an upper riser and a lower shroud. A primary flow path of the primary coolant passes by a heat exchanger proximately located about the riser, and the primary coolant enters the lower shroud. A loss of coolant accident (LOCA) or a depressurization event is detected, and a fluid level of the primary coolant is decreased below the top of the riser. The primary flow path of primary coolant exits the riser as steam. An auxiliary flow path of primary coolant is circulated through an auxiliary passageway provided in the reactor housing, wherein the auxiliary flow path of primary coolant exits the reactor housing without passing by the heat exchanger. The primary coolant from the auxiliary flow path is combined with the primary coolant from the primary flow path that enters the lower shroud. The invention will become more readily apparent from the following detailed description of a preferred embodiment of the invention which proceeds with reference to the accompanying drawings. Various embodiments disclosed or referred to herein may be operated consistent, or in conjunction, with features found in co-pending U.S. application Ser. No. 11/941,024 which is herein incorporated by reference in its entirety. FIG. 2 illustrates a power module assembly 50 comprising an internally dry containment vessel 54. The containment vessel 54 is cylindrical in shape, and has spherical, domed, or ellipsoidal upper and lower ends. The entire power module assembly 50 may be submerged in a pool of water 16 which serves as an effective heat sink. The pool of water 16 and the containment vessel 54 may further be located below ground 9 in a reactor bay 7. The containment vessel 54 may be welded or otherwise sealed to the environment, such that liquids and gas do not escape from, or enter, the power module assembly 50. The containment vessel 54 may be supported at any external surface. In one embodiment, the containment vessel 54 is suspended in the pool of water 16 by one or more mounting connections 80. A reactor vessel 52 is located or mounted inside the containment vessel 54. An inner surface of the reactor vessel 52 may be exposed to a wet environment including a coolant 100 or liquid, such as water, and an outer surface may be exposed to a dry environment such as air. The reactor vessel 52 may be made of stainless steel or carbon steel, may include cladding, and may be supported within the containment vessel 54. The power module assembly 50 may be sized so that it can be transported on a rail car. For example, the containment vessel 54 may be constructed to be approximately 4.3 meters in diameter and 17.7 meters in height (length). Refueling of the reactor core 6 may be performed by transporting the entire power module assembly 50 by rail car or overseas, for example, and replacing it with a new or refurbished power module assembly which has a fresh supply of fuel rods. The containment vessel 54 encapsulates and, in some conditions, cools the reactor core 6. It is relatively small, has a high strength and may be capable of withstanding six or seven times the pressure of conventional containment designs in part due to its smaller overall dimensions. Given a break in the primary cooling system of the power module assembly 50 no fission products are released into the environment. Decay heat may be removed from the power module assembly 50 under emergency conditions. The reactor core 6 is illustrated as being submerged or immersed in a primary coolant 100, such as water. The reactor vessel 52 houses the coolant 100 and the reactor core 6. A reactor housing 20 comprises a shroud 22 in a lower portion and a riser 24 in an upper portion of the reactor housing 20. The shroud 22 surrounds the reactor core 6 about its sides and serves to direct the coolant 100 (shown as coolant flow 65, 67) up through the riser 24 located in the upper half of the reactor vessel 52 as a result of natural circulation of the coolant 100. In one embodiment, the reactor vessel 52 is approximately 2.7 meters in diameter and includes an overall height (length) of 13.7 meters. The reactor vessel 52 may include a predominately cylindrical shape with ellipsoidal, domed or spherical upper and lower ends. The reactor vessel 52 is normally at operating pressure and temperature. The containment vessel 54 is internally dry and may operate at atmospheric pressure with wall temperatures at or near the temperature of the pool of water 16. The containment vessel 54 substantially surrounds the reactor vessel 52 and may provide a dry, voided, or gaseous environment identified as containment region 44. Containment region 44 may comprise an amount of air or other fill gas such as Argonne or other nobel gas. The containment vessel 54 includes an inner surface 55 or inner wall which is adjacent to the containment region 44. The containment region 44 may include a gas or gases instead of or in addition to air. In one embodiment, the containment region 44 is maintained at or below atmospheric pressure, for example as a partial vacuum. Gas or gasses in the containment vessel may be removed such that the reactor vessel 52 is located in a complete or partial vacuum in the containment region 44. During normal operation, thermal energy from the fission events in the reactor core 6 causes the coolant 100 to heat. As the coolant 100 heats up, it becomes less dense and tends to rise up through the riser 24. As the coolant 100 temperature reduces, it becomes relatively denser than the heated coolant and is circulated around the outside of the annulus 23, down to the bottom of the reactor vessel 52 and up through the shroud 22 to once again be heated by the reactor core 6. This natural circulation causes the coolant 100 (shown as coolant flow 65) to cycle through the heat exchanger 35, transferring heat to a secondary coolant, such as the secondary cooling system 30 of FIG. 1 to generate electricity. FIG. 3 illustrates the power module assembly 50 of FIG. 2 during an emergency operation. The emergency operation may include a response to an overheating of the reactor core 6, or an over-pressurization event of the reactor vessel 52, for example. During some emergency operations, the reactor vessel 6 may be configured to release the coolant 100 into the containment region 44 of the otherwise dry containment vessel 54. A decay heat of the reactor core 6 may be removed through condensation of the coolant 100 on the inner surface 55 of the containment vessel 54. Whereas the containment vessel 54 may be immersed in a pool of water 16, the inner surface 55 of the containment vessel 54 may be completely dry prior to the emergency operation or over-pressurization event. A flow limiter 58 or steam vent may be mounted on the reactor vessel 52 for venting the coolant 100 into the containment vessel 54 during the emergency operation. The coolant 100 may be released into the containment vessel 54 as vapor 41, such as steam. The flow limiter 58 may be connected or mounted directly to an outer wall of the reactor vessel 52, without any intervening structures such as piping or connections. The condensation of the vapor 41 may reduce pressure in the containment vessel 54 at approximately the same rate that the vented vapor 41 adds pressure to the containment vessel 54. Coolant 100 that is released as vapor 41 into the containment vessel 54 condenses on the inner surface 55 of the containment vessel 54 as a liquid. The condensation of the vapor 41 causes the pressure in the containment vessel 54 to decrease, as the vapor 41 is transformed into the liquid coolant 100. A sufficient amount of heat may be removed from the power module assembly 50 through the condensation of the vapor 41 on the inner surface 55 of the containment vessel to manage the removal of decay heat from the reactor core 6. In one embodiment, there is no release of the liquid coolant 100 from the reactor vessel 52 even during an emergency operation. The condensed coolant 100 descends to the bottom of the containment vessel 54 and collects as a pool of liquid. As more vapor 41 condenses on the inner surface 55, the level of the coolant 100 in the bottom of the containment vessel 54 gradually rises. Heat stored in the vapor 41 is transferred through the walls of the containment vessel 54 into the pool of water 16 that acts as an ultimate heat sink. Heat stored in the coolant 100 located at the bottom of the containment vessel 54 is transferred through liquid convection and conduction heat transfer on the inner surface 55. Heat removed from the steam or vapor 41 may be transferred to the relatively cold inner surface 55 through condensation on the inside walls of the cold containment vessel 54 and by natural convection from the hot coolant to the inner surface 55. Heat may be transferred to the pool of water 16 by conduction through the containment vessel walls and through natural convection on an outside surface of the containment vessel 54. The coolant 100 remains confined within the power module assembly 50 after the reactor core 6 becomes over-heated and during the emergency operation. The heat transferred to the pool of water 16 may provide adequate passive decay heat removal for three or more days without any operator intervention. The containment vessel 54 may be designed to withstand the maximum pressure that would result given an instantaneous release of the high-pressure fluid from the reactor vessel 52 into the containment vessel 54. The pressure inside the containment vessel 54 may be designed to approximately equilibrate with the pressure inside the reactor vessel 52, reducing break flow caused by the pressure difference and resulting in coolant level 100A in the reactor vessel 52 and coolant level 100B in the containment vessel 54 as shown in FIG. 3. The coolant level 100B is shown elevated with respect to the coolant level 100A due to an amount of hydrostatic driving force required for flow through the lower valves 57 back into the reactor vessel 52. Differences in coolant levels 100A and 100B may also exist due to a pressure difference in the reactor vessel 52 relative to the containment vessel 54 due to the pressure drop of the steam flow valve 58. FIG. 3 shows that the coolant levels 100A and 100B may equilibrate as a result of a hydrostatic head that is generated by in imbalance of the coolant levels. Coolant level 100A in the reactor vessel 52 remains above the top of the reactor core 6, keeping the reactor core 6 covered with coolant 100 at all times. The coolant level 100A is maintained by steam or vapor being emitted from the riser 24 (shown as coolant flow 42) which condenses on the inner surface 55 of the reactor vessel 52 before collecting at the bottom of the reactor vessel 52 to be re-circulated through the reactor core 6. A flow valve 57 may be provided to allow the coolant 100 to flow from the containment vessel 54 back into the reactor vessel 52 once an appropriate or predetermined condition of the coolant levels 100A, 100B is achieved. Coolant 100 that is allowed to reenter the reactor vessel 52 through the flow valve 57 replenishes the coolant 100 that was vented as vapor 41 through the flow limiter 58. The flow of coolant 100 through the flow valve 57 may be achieved through the natural circulation of the passive system due to the different coolant densities and coolant levels that result from temperature differences and valve coolant flow in in the vessels 52, 54. Whereas a complete or perfect vacuum may be commercially or technically impractical to achieve or maintain, a partial vacuum may be created in the containment vessel 54. Any reference to a vacuum herein is therefore understood to be either a partial or complete vacuum. In one embodiment, the containment region 44 is maintained at a vacuum pressure that significantly reduces convective and conductive heat transfer through the containment gases. By substantially removing gases from the containment region 44, for example by maintaining a vacuum within the containment vessel 54, an initial rate as well as subsequent rates of condensation of vapor 41 on the inner surface 55 are increased. Increasing the rate of condensation increases the rate of heat transfer through the containment vessel 54. In the event of a loss of the vacuum in the containment region 44, the introduced vapor or liquid provide a further passive safety cooling mechanism to transfer heat between the reactor vessel 52 and the containment vessel 54 through natural convection. For example, by reducing or eliminating the thermal insulation, for example as provided by a vacuum, a more effective heat transfer from the reactor vessel 52 can be made during an emergency operation due to the condensed liquid coolant 100 which pools at the bottom of the containment vessel 54. Heat is transferred from the reactor vessel 52 through the liquid coolant 100 to the containment vessel 54. FIG. 4 illustrates an embodiment of a power module assembly 40 comprising a steam generator flow by-pass system 45 during an emergency operation, such as a loss of coolant accident (LOCA) or an over-pressurization event. Whereas the power module assembly 40 is described with reference to embodiments illustrated in FIGS. 2-3, it should be understood that many or all of the features could be applied to the nuclear power system described with respect to FIG. 1 as well as conventional power systems. A reactor housing 20 is mounted inside the reactor vessel 52, wherein the reactor housing 20 comprises the shroud 22 and the riser 24 located above the shroud 20. The heat exchanger 35 is proximately located about the riser 24. The reactor core 6 is located in the shroud 22. The riser 24 is shown illustrated as being attached to the reactor vessel 52 by an upper attaching member 41, whereas the shroud is shown illustrated as being attached to the reactor vessel 52 by a lower attaching member 43. The steam generator flow by-pass system 45 is configured to provide an auxiliary flow 48 of primary coolant to the reactor core 6 to augment a flow of the primary coolant 100 out of the riser 24 and into the shroud 22. The auxiliary flow 48 of primary coolant exits the reactor housing 20 without passing by the heat exchanger 35. The steam generator flow by-pass system 45 may provide a hydraulic connection through one or more components of the reactor housing 20. In one embodiment, the steam generator flow by-pass system 45 provides a hydraulic connection through the annulus (ref. 123 FIG. 1) located intermediate the riser 24 and the shroud 22. The coolant flow 42 out of the upper portion (e.g. riser 24) of the reactor housing 20 comprises steam, wherein the auxiliary flow 48 of primary coolant comprises a mixture of two-phase coolant, such as boiling water. Coolant flow 42 exiting the riser 24 may comprise less coolant 100 by mass flow rate as compared to the coolant flow 67 (FIG. 2) during normal operations (e.g. full power operation). Auxiliary flow 48 may therefore serve to make up some of the lost flow rate, such that the coolant flow 46 entering the shroud 22 is augmented to at or near the same flow rate as coolant flow 65 in FIG. 2 during normal operation. In contrast to the coolant level 100N being above the outlet or top of the riser 24 shown in FIG. 2 during normal operating conditions, in the embodiment illustrated by FIG. 4 the coolant level 100A is shown below the top of the riser 24 during the emergency operation. Whereas the reactor housing 20 is shown completely submerged in primary coolant 100 in FIG. 2, the reactor housing 20 is only partially submerged in the coolant 100 as illustrated in FIG. 4. The level of the primary coolant 100 remains above the passageway 45 during normal operation, as well as during an off-normal operation, shut-down or emergency operation, when steam generator by-pass occurs. During normal operating conditions, the coolant flow 65 may be comprised of predominantly or exclusively single phase coolant, for example in a pressurized water reactor design (PWR). Accordingly, a flow of single phase coolant circulates through the reactor core 6 as coolant flow 65 and out the riser 24 as coolant flow 67 (see FIGS. 2 and 5). This provides for single-phase convection heat transfer at the surface of the fuel cladding in the reactor core 6. When a LOCA occurs and the coolant level 100A drops below the top of the riser 24, as illustrated in FIG. 4, the flow of single phase coolant may be interrupted. When pressure or temperature variations provide for conditions where the saturation conditions are surpassed, phase-change heat transfer may occur. Two-phase coolant may develop as it passes through the reactor core 6 which may then exit the reactor housing 20 via coolant flow 42 as steam which condenses on the inside wall of the reactor vessel 52. By including the auxiliary flow 48 through the steam generator flow by-pass system 45, convective heat transfer is provided to the reactor core 6, in addition to the heat transfer that occurs through steam generation. The level of coolant 100C within the riser 24 during the LOCA, may drop down to a level that is approximately equal to that of the coolant level 100A on the outside (downcomer) of the reactor housing 20 when the power module achieves a steady state condition. A steady state condition may occur when the coolant flow 46 entering the shroud 22 is equal to the combined flow rate of the coolant flow exiting the riser 24 and the auxiliary flow 48 exiting the steam generator flow by-pass system 45. The steam generator flow by-pass system 45 is located above the reactor core 6 to optimize coolant flow through the fuel rods. In one embodiment, the steam generator flow by-pass system 45 comprises a passageway provided in the reactor housing 20 intermediate the lower portion (e.g. shroud 22) and the upper portion (e.g. riser 24) of the housing 20, wherein the passageway is configured to provide the auxiliary flow 48 of primary coolant to the reactor core 6 which augments the flow of the primary coolant 100 out of the upper portion of the reactor housing 20 and into the lower portion. The auxiliary flow 48 of primary coolant accordingly bypasses the heat exchanger 35, located proximately about the upper portion of the reactor housing 20. The passageway 45 may be closed during a full power operation of the power module assembly 40, whereas during an emergency operating procedure, the passageway 45 is configured to open. Similarly, the passageway 45 may be configured to open during a shut-down, or power-down operation, including a LOCA or over-pressurization event. In one embodiment, the passageway remains open during all modes of operation, whereas the auxiliary flow 48 is substantially minimized or reduced to zero during normal operations of the power module assembly 40. FIG. 5 illustrates an embodiment of a power module comprising a steam generator flow by-pass system 59 during normal operating conditions. The steam generator flow by-pass system 59 comprises an opening or passageway through the reactor housing 120. For example, the passageway may be located between or through a lower end 60 of the riser 24 and an upper end 62 of the shroud 22. The coolant flow 65 passes through the reactor core 6 located in the shroud 22 before exiting the riser 24 as coolant flow 67. During normal operations, little or none of the coolant flow 65 escapes through the steam generator flow by-pass system 59. By prohibiting or reducing a flow rate through the steam generator flow by-pass system 59, a maximum flow of coolant passes by the heat exchanger 35 to remove heat from the reactor core 6. Accordingly, the mass flow rate of coolant flow 65 is approximately equal to that of coolant flow 67. FIG. 6A illustrates an embodiment of a steam generator flow by-pass system 69 during normal operating conditions, such as when a power module is operating at full power. During normal operation, the power module generates an operating temperature that is typically higher than a temperature associated with reactor start-up, reactor shut-down, or other operating conditions. Different temperatures may be generated at different locations within the coolant 100 as a result of interaction with the heat exchanger 35 (FIG. 4). At normal operating temperatures, coolant flow 65 and 67 behave substantially as described with respect to FIGS. 2 and 5. Different components of the reactor housing 20 may undergo different amounts of thermal expansion, as a result of the difference in operating temperature or as a result of differences in thermal properties of the various components. For example, some components may be made out of different materials, composition, or amount (e.g. thickness), such that one component may expand or retract to a greater degree than another component. In one embodiment, a direction of expansion or contraction of the shroud 22 and the riser 24 are in opposite directions. For example, while the riser 24 expands toward the bottom of the reactor vessel 52 (FIG. 2), the shroud 22 expands toward the top of the reactor vessel 52. This relationship is diagrammatically illustrated by the downward and upward facing arrows at the lower end 60 of the riser 24 and the upper end 62 of the shroud 22, respectively. Expansion of the components in opposite directions may be accomplished by attaching the riser 24 to the upper attaching member 41 and by separately attaching the shroud 22 to the lower attaching member 43 (FIG. 4). A passageway 63 in the upper end 62 of the shroud 22 is shown dislocated with a passageway 61 in the lower end 60 of the riser 24. With the shroud 22 and riser 24 in the thermally expanded condition, the dislocated passageways 61, 63 do not line up, such that little or none of the coolant flow 65 is allowed to pass through the steam generator flow by-pass system 69. FIG. 6B illustrates an embodiment of the steam generator flow by-pass system 69 of FIG. 6A during a power-down operation. The power down operation may include a reactor shut-down, reactor trip or SCRAM, LOCA, or overpressurization event, for example. During the power-down operation, temperatures in the reactor vessel 52 (FIG. 2) tend to decrease, which results in a contraction or retraction of various reactor components. For example, while the riser 24 contracts toward the top of the reactor vessel 52 (FIG. 2), the shroud 22 retracts toward the bottom of the reactor vessel 52. This relationship is diagrammatically illustrated by the upward and downward directed arrows at the lower end 60 of the riser 24 and the upper end 62 of the shroud 22, respectively. The riser 24 and the shroud 22 may expand or contract at different amounts for the same change in temperature, in which case the directions of expansion and retraction may be relative to each other. The passageway 63 in the upper end 62 of the shroud 22 is shown aligned with the passageway 61 in the lower end 60 of the riser 24, allowing an auxiliary flow 48 of coolant to pass through the steam generator flow by-pass system 69. With the shroud 22 and riser 24 in the thermally retracted condition, the co-located passageways 61, 63 line-up to form a through-passage, such that the auxiliary flow 48 is combined with coolant flow 42. In one embodiment, the passageway 61, 63 opens due to a change in temperature within the reactor vessel 52 (FIG. 2), wherein a difference in rate of thermal expansion between the shroud 22 and the riser 24 causes the passageway 61, 63 to open. A flow rate of the auxiliary flow 48 may vary according to the change in temperature, a degree of alignment between the passageways 61, 63, or the number of passageways provided in the reactor housing 20. The auxiliary flow 48 of coolant exits the reactor housing 20 without passing by or through the heat exchanger 35 (FIG. 4). FIG. 7 illustrates an embodiment of a steam generator flow by-pass system 79 comprising a through-passage 70. The through-passage 70 may be formed between the lower end 60 of the riser 24 and the upper end 62 of the shroud 22. The lower end 60 and upper end 62 are shown overlapping each other, such that the auxiliary flow 48 circulates through the through-passage 70. FIG. 7 may be understood as representing the flow of coolant during a shut-down or power-down operation, in which coolant flow 42 provides a reduced flow rate as compared to coolant flow 67 of FIG. 5. In FIG. 5, during normal operation of the power module 40, coolant flows 65, 67 may be sufficiently strong such that little or no auxiliary flow escapes from the steam generator flow by-pass system 59. Flow paths through the riser 24 may provide the path of least resistance during normal operation. During a shut-down operation, or LOCA, where coolant flow 42 may be reduced, auxiliary flow 48 may be allowed to exit the through-passage 70 through natural convection, as coolant flow 46 exceeds the flow rate of coolant flow 42. In one embodiment, the primary coolant exits the steam generator flow by-pass system 79 as a result of a decrease in flow rate of the coolant flow 42 of the primary coolant out of the riser 24. The decrease in flow rate may correspondingly decrease an amount of eddies that otherwise form in the through-passage 70 during normal operating conditions, allowing the coolant to “boil over” through the steam generator flow by-pass system 79. In the embodiment illustrated in FIG. 7 as well as the other various embodiments described and illustrated herein, the auxiliary flow 48 of primary coolant may exit the reactor housing 20 due to natural convection, or natural circulation of the coolant. A two-phase state of the coolant may promote auxiliary flow 48 of coolant to pass through the steam generator flow by-pass system, whereas most or all of the coolant would otherwise exit out the riser 24 when the coolant is in a single-phase state (e.g. during normal operating conditions). Passively cooling the reactor core 6 (FIG. 5) reduces or eliminates the need for providing moving or mechanical parts, such as motors. In one embodiment, a distance between the overlapped section of the lower end 60 and upper end 62 increases or decreases with a change in temperature of the power module 40. During a decrease in reactor temperature, forces F1 and F2 may act on the ends 60, 62 of the riser 24 and shroud 22 to increase the size of the through-passage 70 and provide for an increase in auxiliary flow 48. Whereas during an increase in reactor temperature, the size of the through-passage 70 may decrease as the distance between the overlapped section of the ends 60, 62 decreases, resulting in the auxiliary flow 48 decreasing or ceasing to flow. A flow rate of the auxiliary coolant 48 may vary with a change in reactor temperature and associated change in size or flow area of the through-passage 70. FIG. 8 illustrates an embodiment of a steam generator flow by-pass system 89 comprising a valve 80 positioned near the lower end 60 of the riser 24 and the upper end 62 of the shroud 22. Auxiliary flow 48 may be allowed to flow similarly as with regards to the description of FIG. 7, whereas the valve 80 may be provided to limit a direction of the coolant flow 48 in a single direction. In one embodiment, valve 80 is a unidirectional valve that limits the direction of coolant flow 48 from within the reactor housing 20 to outside of the reactor housing 20. In one embodiment, the valve 80 is always open, and the rate of auxiliary flow 48 is governed by the flow rate of coolant flow 42, 46 or coolant flow 65, 67 (FIG. 5). In another embodiment, valve 80 is actuated (e.g. opened) upon detection of a shut-down operation or reactor scram, for example, such that valve 80 is otherwise closed during normal (e.g. full power) reactor operation. FIG. 9 illustrates an embodiment of a steam generator flow by-pass system 99 comprising one or more baffles 90. The auxiliary flow 48 through the baffles 90 may operate or function similarly as described above with respect to the embodiments illustrated in FIGS. 4-8. For example, during normal operation of the power module 40, little or no auxiliary flow 48 may be allowed to exit through the one or more baffles 90. During a shut-down operation, auxiliary flow 48 through the baffles 90 may be enabled or increased. In one embodiment, the one or more baffles 90 rotate about a pivot to open or close. Baffle 90A illustrates a baffle in a closed position, whereas baffle 90B illustrates a baffle in an open position. The one or more baffles 90 may open or close depending on the flow rate of the coolant flow 42, 46, as these flow rates may exert pressure P1, P2 on the one or more baffles 90. If a flow rate or pressure differential between pressures P1, P2 is great enough, the one or more baffles 90 may close, and prohibit a flow of coolant through the steam generator flow by-pass system 99. The steam generator flow by-pass system 99 may further comprise a return mechanism, such as a spring, that returns the one or more baffles 90 to an open position when the flow rate drops below some predetermined threshold. In one embodiment, the steam generator flow by-pass system 99 comprises a screen with miniature louvers or baffles that allow the passage of boiling coolant, but prohibit or limit the passage of single phase coolant. FIG. 10 illustrates an embodiment of a steam generator flow by-pass system 109 comprising a temperature activated passage 100. The passage 100 may be configured to open due to a change in temperature within the reactor vessel 52 (FIG. 4). In one embodiment, the steam generator flow by-pass system 109 comprises a bi-metallic cover located over the passageway, wherein the bi-metallic cover comprises materials having different thermal expansion rates or properties. In one embodiment, the passageway is formed between the riser 24 and the shroud 22. A first end of the temperature activated passage 100 may be fixed or otherwise attached to the reactor housing 20 (FIG. 4). Due to the different thermal expansion properties, a second end of the temperature activated passage 100 may bend away from the reactor housing 20 with a force Fo as a reactor temperature decreases. A passageway through the reactor housing 20 may therefore be formed which allows the auxiliary flow 48 to exit the steam generator flow by-pass system 109. As the reactor temperature increases, the temperature activated passage 100 may relax, or bend back to cover the passageway (shown by reference 100A) and reduce or stop the auxiliary flow 48 from exiting the reactor housing 20. FIG. 11 illustrates an embodiment of a steam generator flow by-pass system 119 comprising a ball check valve 110. The ball check valve 110 may move in a bi-direction sense, such that in one position it allows the auxiliary flow 48 to pass through the steam generator flow by-pass system 119, whereas in a second position (e.g. shown as reference 110A) it limits or prohibits the release of auxiliary flow 48 out of the reactor housing 20. The steam generator flow by-pass system 119 may comprise a return spring 115 that urges the ball check valve 110 toward the open, first position. The amount of force exerted by the return spring 115 may exceed the force due to the coolant flow 48 during a shut-down condition, for example. During normal operation, a flow rate due to coolant flow 65 (FIG. 5) may overcome the force exerted by the return spring 115, and place the ball check valve 110 in the closed, second position 110A. In another embodiment, the weight of the ball in the ball check valve provides the downward force of the ball check valve 110, replacing the need for the return spring 115. In another embodiment, a spring is located near the bottom of the ball check valve 110, instead of as shown in FIG. 11. The spring expands during normal operation due to an increase in temperature, urging the ball check valve 110 toward the closed, second position 110A. The spring contracts during a power down condition due to a decrease in temperature, urging the ball check valve 110 toward the open, first position. FIG. 12 illustrates an embodiment of a steam generator flow by-pass system 129 actuated by control rods 125A, 125B. The steam generator flow by-pass system 129 may comprise one or more vents or valves 120 attached to the reactor housing 20. In one embodiment, the steam generator flow by-pass system 129 is attached to the reactor housing 20 intermediate the shroud 22 and the riser 24. When the control rods (identified as reference number 125B) are removed from the reactor core 6, the steam generator flow by-pass system 129 may be actuated to be closed, such that little or no auxiliary flow is allowed to exit the reactor housing 20. The steam generator flow by-pass system 129 may be closed, for example, during normal or full-power operation of the power module 40. When the control rods (identified as reference number 125A) are inserted into the reactor core 6, the steam generator flow by-pass system 129 may be actuated to be open, such that the auxiliary flow is allowed to exit the reactor housing 20. The steam generator flow by-pass system 129 may be open, for example, during shut-down or a power down operation of the power module 40. One or more switches or sensors may determine when the control rods 125A, 125B are inserted or removed from the reactor core 6, and send a signal to actuate the steam generator flow by-pass system 129. FIG. 13 illustrates an alternative embodiment of a steam generator flow by-pass system 139 actuated by control rods 135A, 135B. The steam generator flow by-pass system 139 may comprise one or more control rods designed such that when withdrawn (135A) for operation they obstruct the flow path of the by-pass system, and when inserted (135B) during power down conditions they provide an open passage to auxiliary coolant by-pass flow 48. The location of the control rods 135A, 135B allow or prevent the auxiliary flow of primary coolant to pass through the housing 20. In one embodiment, the steam generator flow by-pass system 139 is attached to the reactor housing 20 intermediate the shroud 22 and the riser 24. One or more switches or sensors may determine when the control rods are inserted (135B) or removed (135A) from the reactor core 6. FIG. 14 illustrates a novel method of cooling a reactor core using a steam generator flow by-pass system. The method may be understood to operate with, but not limited by, various embodiments illustrated herein as FIGS. 1-13. At operation 140 a primary coolant is circulated through a reactor housing comprising an upper riser and a lower shroud, wherein a primary flow path of the primary coolant passes by a heat exchanger proximately located about the riser, and wherein the primary coolant enters the lower shroud. At operation 150, a loss of coolant accident (LOCA) or a depressurization event is detected. The LOCA or depressurization event may indicate a reduced amount of coolant or pressure in the reactor vessel. At operation 160, a fluid level of the primary coolant is decreased below the top of the riser, wherein the primary coolant exits the riser as steam. In one embodiment, the primary coolant that exits the riser as steam condenses as liquid coolant before being combined with an auxiliary flow path of the primary coolant that is circulated through an auxiliary passageway in the reactor housing. At operation 170, the auxiliary flow path of the primary coolant is circulated through the auxiliary passageway provided in the reactor housing, wherein the auxiliary flow path of the primary coolant exits the reactor housing without passing by the heat exchanger. In one embodiment, the auxiliary flow path of the primary coolant circulates through the auxiliary passageway due to a difference in hydrostatic forces on either side of the passageway. At operation 180, the primary coolant from the auxiliary flow path is combined with the primary coolant from the primary flow path that enters the lower shroud. In one embodiment, chemical additives soluble in coolant of a nuclear reactor are combined with the primary coolant of a nuclear reactor, modifying the nuclear and chemical characteristics of the coolant. A loss of primary coolant inventory is detected, and a fluid level of the primary coolant is decreased such that the nominal flow path is interrupted or reduced. Production of steam occurs in the core region, and exits the riser as steam. Non volatile additives in the primary coolant are concentrated in the core, and coolant devoid of the non-volatile additives collects in regions observing condensation. The primary coolant is circulated through a passageway provided in the reactor housing, wherein the coolant devoid of additives is combined with the coolant with increased concentration of additives, providing mixing of the coolant streams and mitigating the concentration process. Circulating the auxiliary flow path of the primary coolant through the auxiliary passageway reduces a concentration of non-volatile additives in the primary coolant within the reactor housing. Although the embodiments provided herein have primarily described a pressurized water reactor, it should be apparent to one skilled in the art that the embodiments may be applied to other types of nuclear power systems as described or with some obvious modification. For example, the embodiments or variations thereof may also be made operable with a boiling water reactor or more generally to any other integrated passive reactor design. The rate of release of the coolant into the containment vessel, the rate of condensation of the coolant into a liquid, and the rate of increase of pressure in the containment vessel, as well as other rates and values described herein are provided by way of example only. Other rates and values may be determined through experimentation such as by construction of full scale or scaled models of a nuclear reactor. Having described and illustrated the principles of the invention in a preferred embodiment thereof, it should be apparent that the invention may be modified in arrangement and detail without departing from such principles. We claim all modifications and variation coming within the spirit and scope of the following claims.
claims
1. An apparatus comprising:a support comprising first nuclei of an isotope;a source device on the support, the source device comprising second nuclei of the isotope, the second nuclei in an excited nuclear state of the isotope; andan excitation element coupled to the support,wherein upon activation of the excitation element, at least some of the first nuclei are level shifted to at least one nuclear state above a ground state of the isotope by excitation transfer from the second nuclei to the first nuclei. 2. The apparatus of claim 1, wherein the excitation element comprises a vibration source. 3. The apparatus of claim 1, wherein the source device comprises a radioactive source, wherein the second nuclei are produced as decay product nuclei of the radioactive source, and wherein the excitation transfer moves excitation from the decay product nuclei of the radioactive source to the first nuclei of the support. 4. The apparatus of claim 3, wherein the excitation transfer occurs in bulk from multiple second nuclei of the source device. 5. The apparatus of claim 3, wherein the excitation transfer causes emissions from the support. 6. The apparatus of claim 1, wherein the source device comprises a radioactive source, wherein the second nuclei are produced as decay product nuclei of the radioactive source. 7. The apparatus of claim 6, wherein the excitation is transferred by a process in which energy is transferred from the decay product nuclei in the excited nuclear state to one or more of the first nuclei of the support. 8. The apparatus of claim 7, wherein the one or more first nuclei of the support to which the energy is transferred are each in a ground state of the isotope before the energy is transferred. 9. The apparatus of claim 1, wherein, said at least one nuclear state to which at least some of the first nuclei are level-shifted by excitation transfer is the excited nuclear state of the isotope. 10. The apparatus of claim 1, wherein the excitation transfer from the second nuclei to the first nuclei occurs via phonon-nuclear coupling. 11. The apparatus of claim 1, wherein the excitation transfer moves excitation from the second nuclei to the first nuclei. 12. The apparatus of claim 1, wherein at least some of the first nuclei are level shifted via phonon-nuclear coupling in diatomic molecules each comprising one of the first nuclei and one of the second nuclei.
052084620
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to solid state optical sources, more specifically it relates to solid state optical sources with a wide bandwidth. 2. Description of the Related Art Solid state optical sources are used in communications involving fiber optics. The number of channels carried by a fiber is increased through wavelength multiplexing. Wavelength multiplexing requires the use of a wide bandwidth optical source. Typical solid state optical sources have a full width at half maximum (FWHM) bandwidth of 100 nm or less. A band width of 100 nm or less is insufficient for adequate wavelength multiplexing. One possible solution is to combine several solid state optical sources having slightly different wavelengths to achieve the desired bandwidth. Arranging several solid state optical sources so that they interface to a small diameter optical fiber is cumbersome and is unreliable. Another possible solution is to use a white light source, such as a lamp, in combination with a notched filter. This solution suffers from the disadvantages of size, weight and high power consumption. SUMMARY OF THE INVENTION The wide bandwidth solid state optical source comprises a solid state optical source that has a light emitting surface which emits a first light having a first FWHM bandwidth. A phosphor is positioned near the light emitting surface so that the phosphor absorbs the first light and emits a second light which has a second FWHM bandwidth that is greater than the first FWHM bandwidth. A typical phosphor can contain a material selected from the group consisting of CaS and SrS. The material can be doped with Yb. The present invention provides a wide bandwidth solid state optical source without requiring a cumbersome arrangement of multiple solid state optical sources. In addition, the present invention does not require using a white light in combination with a notched filter. The present invention provides a wide band optical source by placing a phosphor coating on a solid state optical source such as an LED or laser diode. The phosphor coating absorbs the light produced by the optical source and reemits the energy as wide bandwidth optical energy.
040173585
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to the drawings, FIG. 2 shows the storage capacity for borate ions on the resin for two different temperature levels as effected by the boric acid concentration of the liquid which surrounds the resin and the resins capacity for storage of boron. The curve of FIG. 2 represents conditions only where the resin is saturated with boron at the temperature represented on either the T-hot curve or the T-cold curve. Sketch W of FIG. 3 simulates the amount of boron stored on the resin during storage until saturation has been achieved. The effluent concentration equals the in-flow concentration under these conditions. Now assuming that the in-flow concentration, which is the reactor coolant concentration, corresponds to point A on the T-cold curve of FIG. 2. Boron will be released from the resin at hot in-flow conditions and yield a high effluent concentration as indicated by point B on the T-hot curve of FIG. 2. If the boration process is stopped before the reactor coolant system concentration reaches point C on the T-hot curve of FIG. 2, the concentration which would be achieved if the resin were completely equilibrated with the conditions of the incoming flow, then the boron on the resin would be distributed over the resin column as indicated in sketch X of FIG. 3. This results from the tendency of the resin bed to release boron first from the resins at the inlet side of the resin column. The boron concentration of the solution will then increase over the length of the resin column until it reaches a level corresponding to the equilibrium condition at that temperature. As indicated above, the outlet side of the resin column does not store or release boron during the initial phase of any particular change in flow condition directed toward changing the concentration of boron within the reactor. Sketch Y of FIG. 3 illustrates what would then happen if boron were subsequently stored on the resin before all of the boron had been released from the resin as for example as shown in sketch X of FIG. 3. The incoming flow stores boron first on the in-flow side of the resin column, as indicated above. The concentration of liquid decreases over the first part of the column. However, because the effluent side of the boron column has not released boron during the previous boration period, it will not be able to store boron at this in-flow condition. The flow which has decreased in concentration over the first part of the resin column will again increase over the last part of the column and will finally leave the resin column at a condition which is in equilibrium with the condition at which the resin was left after complete equilibrium which corresponds to point A of FIG. 2. The result is that no dilution of the reactor coolant water will occur during the first stage of the storage operation. This is of course extremely undesirable where rapid dilution is required for a subsequent step in reactor operations. The concentration of the effluent from the boron column will eventually decrease because the concentration of the liquid at the in-flow side decreases and removes boron which was stored at the effluent side. The amount of boron stored on the resin at the effluent side therefore decreases resulting eventually in a decrease in the effluent concentration. Thus, the result of leaving the column in a non-equilibrated state is that a substantial length of time is required to reach a desired effluent concentration from the boron column. A sketch Z of FIG. 3 illustrates the case where boron is stored on the resin after the resin column has been completely rinsed at hot conditions. The effluent concentration during boron storage will then correspond to point D on the T-cold curve of FIG. 2. This low effluent concentration would cause an immediate dilution of the reactor collant water. The explanation above indicates that in a single directional flow resin column the resin must become equilibrated at the new temperature level in order to give any immediate response if the temperature of the resin bed is changed. A severe operational problem results since partial changes in the reactor coolant concentration of boron with respect to the storage capacity of the boron column make subsequent changes both somewhat unpredictable and always time consuming. This operational problem can be minimized by the use of the resin bed which allows flow in both directions. FIG. 2 illustrates that if the flow direction would have been reversed after the conditions shown on sketch X of FIG. 3 then a low concentration corresponding to point D on the T-cold curve would have been reached immediately. Accordingly, the resin bed should always be used such that hot flow which removes boron from the resin will always enter at one side of the bed and cold flow which stores boron on the resin will always enter at the other side of the bed. This will, after reversal in flow condition from a hot condition to a cold condition, immediately result in a low effluent concentration at cold operating conditions and a high effluent concentration at hot operating conditions. The resins used in the regeneration system disclosed herein are hydroxyl based anion resins which normally are saturated with borate ions prior to being placed in the system tanks. Typical resins available for use are Rohm and Haas IRN-78, Duolite ARA-336W, Lewatit M-500 and Ionac-A935. These resins are well known in the nuclear reactor manufacturing field and all appear to have essentially the same characteristics. They deteriorate somewhat with use but pronounced deterioration takes place above about 160.degree. F and this factor determines the upper temperature level at which the thermally regenerable ion exchangers having the resin beds may be operated. The upper temperature level at which coolant may therefore be introduced into the ion exchangers ranges between 140.degree. and 160.degree. F while the lower temperature level is 40.degree. to 60.degree. F. The lower level of 40.degree. F is chosen to maintain the coolant at just above the freezing point to eliminate the necessity for using an anti-freeze solution in the coolant. Desirably, the range between the upper and lower temperature levels should be kept as wide as possible to promote efficiency in the system, i.e., to store boron on the resin and remove boron from the resin in the maximum amount and at the best rate for the temperatures chosen for system operation. The system of this invention operates at 50.degree. and 140.degree. F. It will be obvious to those skilled in the art that as improved resins become commercially available, the upper temperature level may be raised from the present 140.degree. - 160.degree. F, the object being to achieve the greatest range between the points B and D on the curve of FIG. 2. FIG. 1 shows an example of a resin tank which is suitable for practicing the process of this invention in that it permits dual-directional flow. Tank 10 is bisected by a vertical divider plate 12 which extends through a horizontal screen 14. Tank 10 further includes bottom mounted flow conduits 16 and 18. Flow which enters through conduit 16 under the resin screen 14 at one side of the divider plate 12 is forced upwards over the divider plate 12 and exits via the conduit 18 on the other side of the divider plate 12. The reverse flow path is followed after flow-reversal. The resin located in the upward flow path will be lifted a few inches. However, the divider plate 12 assures that the resins are not intermixed after flow reversal. Tank 10 employs two different tank lids designated by the numerals 20 and 22 in order to properly adjust the resin level within the tank. Resin lid 20 is employed during initial filling. The properties of the employed resin are such that during storage of borate ions, during which time relatively low temperature coolant is passing through the resin column, the resin volume shrinks approximately 10%. This 10% is added employing resin tank lid 22 which is subsequently replaced by resin lid 20 to insure that during operation there is always space in the tank available for expansion and contraction of the resins. An advantage of the design exemplified is that flow which enters the resin tank at one side will precool (if the previous operation was release of boron at relatively high temperatures) or preheat (if the previous operation was storage of boron at relatively low temperatures) the liquid which leaves the column on the other side of the divider plate 12. This will cause a faster response than would be possible with other arrangements. The use of dual-directional flow process for boron storage and release in conjunction with a resin tank 10 specifically designed to practice this process enables a wide variety of load follow operations to be practiced in a minimum time. The system of this invention should be contrasted with previous systems which only allowed fixed changes to take place during acceptable time periods.
description
The application claims the benefit of U.S. provisional application No. 60/394,581 filed Jul. 9, 2002. This invention relates to a binderless phosphor screen with a support including an amorphous carbon (a-C) layer. A well-known use of phosphors is in the production of X-ray images. In a conventional radiographic system an X-ray radiograph is obtained by X-rays transmitted image-wise through an object and converted into light of corresponding intensity in a so-called intensifying screen (X-ray conversion screen) wherein phosphor particles absorb the transmitted X-rays and convert them into visible light and/or ultraviolet radiation to which a photographic film is more sensitive than to the direct impact of X-rays. According to another method of recording and reproducing an X-ray pattern disclosed e.g., in U.S. Pat. No. 3,859,527 a special type of phosphor is used, known as a photostimulable phosphor, which being incorporated in a panel or screen, is exposed to incident pattern-wise modulated X-ray beam and, as a result thereof, temporarily stores energy contained in the X-ray radiation pattern. At some interval after the exposure, a beam of visible or infra-red light scans the panel or screen to stimulate the release of stored energy as light that is detected and converted to sequential electrical signals which can be processed to produce a visible image. For this purpose, the phosphor should store as much as possible of the incident X-ray energy and emit as little as possible of the stored energy until stimulated by the scanning beam. This is called “digital radiography” or “Computed Radiography” (CR). In both kinds of radiography the amount of exposure given for an examination is often tuned by a “phototimer”. A “phototimer” comprises a radiometer for measuring the radiation dose passing through the object (patient) and the radiographic imaging system and a connection to the source of penetrating radiation for switching the penetrating radiation source off as soon as a pre-set dose is reached. In systems using such a phototimer it is important that a well measurable dose reaches the radiometer in the phototimer, since when the dose reaching the phototimer is too low, the reproducibility of the off-switching of the source of penetrating radiation is not what it should be from the point of view of image quality. Thus, the imaging system should itself only absorb penetrating radiation up to such an extent as is necessary for good speed and image quality so that—with a patient dose as low as possible and only dictated by the examination at hand—the radiometer is reached by a sufficiently high exposure dose for reproducible off-switching of the source of penetrating radiation. In a practical setting the amount of radiation that reaches the “phototimer” is determined by the absorption of penetrating radiation by the object, the tube side of the cassette containing the storage phosphor panel or screen and the back side of the cassette. The absorption of the storage phosphor panel or screen is determined by the phosphor that is used, the amount of phosphor and the support. Higher absorption in the phosphor layer is advantageous for speed and image quality of the radiographic imaging system so there is a need to increase the thickness (the absorption) of the phosphor layer, this can only be done when the total absorption of phosphor layer and support remains almost constant. Thus increasing the thickness of the phosphor layer must be compensated by lowering the absorption of penetrating radiation in the support. Especially in radiographic techniques where penetrating radiation of low energy is used (e.g. mammography, certain non-destructive testing applications, etc.) the contribution of the support to the absorption of the phosphor screen or panel or screen can not be neglected. The lowering of the absorption of penetrating radiation by the support can be done by lowering the thickness of the support, by using a support with low absorption, etc. On the other hand the support of the storage phosphor panel or screen should have high mechanical strength, low brittleness and, in case of vacuum deposition of the phosphor on it, be able to withstand the temperatures encountered during vapor deposition. Thus the need for a support giving a good compromise between often contradictory properties, as those cited above, remains present. It is an object of the invention to provide a storage phosphor panel or screen including a support with low absorption of penetrating radiation that has high mechanical strength and that can be used when applying vapor deposition of a phosphor. It is a further object of the invention to provide a storage phosphor panel or screen including a support with low absorption of X-ray radiation with an energy lower than 70 keV that has high mechanical strength and that can be used when applying vapor deposition of a phosphor, the panel or screen being well suited for use in mammography. The object of the invention is realized by providing a storage phosphor panel or screen as claimed in claim 1. Specific features for preferred embodiments of the invention are disclosed in the dependent claims. Further advantages and embodiments of the present invention will become apparent from the following description. In the production of binderless phosphor screens by means of chemical vapor deposition in vacuum, the support on which the phosphor is deposited can be heated up to a temperature of about 400° C. So use of a thermostable support is necessary. Therefore, though being a support containing only elements with low atomic number, a polymeric support is not the most suitable. It was now found that including an amorphous carbon film in the support did open perspectives in order to produce a binderless storage phosphor screen on a support with low X-ray absorption, even if the storage phosphor layer is applied by vacuum deposition at fairly high temperatures. Amorphous carbon films suitable for use in this invention are commercially available through, e.g., Tokay Carbon Co, LTD of Tokyo, Japan or Nisshinbo Industries, Inc of Tokyo, Japan, where they are termed “Glass-Like Carbon Film”, or “Glassy Carbon”. In a binderless phosphor panel or screen according to the present invention, the thickness of the amorphous carbon layer can range from 100 μm up to 3000 μm, a thickness between 500 μm and 2000 μm being preferred as compromise between flexibility, strength and X-ray absorption. In a binderless storage phosphor screen of the present invention the storage phosphor layer can be directly positioned adjacent to the amorphous carbon layer, e.g., by vacuum depositing the storage phosphor on the amorphous carbon film, and the screen can be used without adding further layers to the screen, this is a very simple embodiment of a storage phosphor screen of the present invention. This embodiment is shown in FIG. 1 wherein a storage phosphor layer (1) on a support (2) is adjacent to an amorphous carbon layer (23). In a further embodiment of the storage phosphor screen or panel according to the present invention an auxiliary layer can be added to the screen at the side of the amorphous carbon layer facing away from the phosphor layer. Such a screen is shown in FIG. 2, wherein a phosphor layer (1) on a support (2) is schematically shown wherein the support includes an amorphous carbon layer (23) and an auxiliary layer (24). This auxiliary layer is preferably a polymeric layer that is laminated to the amorphous carbon layer. By doing so the mechanical strength, especially with respect to brittleness and flexibility, of the panel or screen of the present invention is enhanced. The need for very high mechanical strength is especially present in the radiographic systems making use of a storage phosphor panel wherein during reading of the energy stored in the panel, the panel is automatically removed from the cassette, moved through a reader, often via a sinuous path, and then put back in the cassette. In such a reader it is quite advantageous to make use of a screen or panel of the present invention with an auxiliary layer laminated on the amorphous carbon layer. This auxiliary layer can be any polymeric film known in the art, e.g. polyester film, polyvinylchloride, polycarbonate, syntactic polystyrene, etc. Preferred polymeric films are polyester ester films, as e.g., polyethylene terephthalate films, polyethylene naphthalate films, etc. The thickness of the auxiliary layer (24) can range from 1 μm to 500 μm. It is possible to use a fairly thin amorphous carbon film, e.g., 400 μm and laminate a 500 μm thick auxiliary film to it as well as to use a thick amorphous carbon film, e.g., 2000 μm thick with a thin, e.g., 6 μm thick, polymeric film laminated onto it. The relative thickness of the amorphous carbon and polymeric film can be varied widely and is only directed by the required physical strength of the amorphous carbon during deposition of the phosphor layer and the required flexibility during use of the panel. It has been shown, e.g. in the European Patent Application No. 02100763.8 concurrently filed herewith, Jun. 28, 2002, that adding a specularly reflecting layer between the phosphor layer and the amorphous carbon layer can enhance both image quality and speed of the screen or panel. Also in a panel according to the present invention, the addition of such a specularly reflecting auxiliary layer may be beneficial. When such a layer is added, it preferably reflects at least 80% of the light impinging on it in a specular way. More preferably said layer reflects 90% of the impinging light specularly. Such layers are preferably very thin (thickness under 20 μm, preferably under 10 μm) metal layers. When in a screen or panel according to the present invention, a specularly reflecting layer is present, it is preferred that the layer is a thin aluminum layer (thickness preferably lower than or equal to 10 μm, more preferably lower than or equal to 5 μm). Since such a thin metal layer can be quite corrosion sensitive it is preferred that, when a specularly reflecting metal layer is present in a panel or screen of the present invention, that this layer is covered with a barrier layer (a further auxiliary layer) that impedes water and/or moisture of reaching the relecting auxiliary layer. Such a barrier layer can be any moisture barrier layer known in the art, but is preferably a layer of parylene. Most preferred polymers for use in the barrier layer of the present invention are vacuum deposited, preferably chemical vacuum deposited poly-p-xylylene film. A poly-p-xylylene has repeating units in the range from 10 to 10000, wherein each repeating unit has an aromatic nuclear group, whether or not substituted. As a basic agent the commercially available di-p-xylylene composition sold by the Union Carbide Co. under the trademark “PARYLENE” is thus preferred. The preferred compositions for the barrier layer are the unsubstituted “PARYLENE N”, the monochlorine substituted “PARYLENE C”, the dichlorine substituted “PARYLENE D” and the “PARYLENE HT” (a completely fluorine substituted version of PARYLENE N, opposite to the other “parylenes” resistant to heat up to a temperature of 400° C. and also resistant to ultra-violet radiation, moisture resistance being about the same as the moisture resistance of “PARYLENE C”). Most preferred polymers for use in the preparation of the barrier layer in a panel of this invention are poly(p-2-chloroxylylene), i.e. PARYLENE C film, poly(p-2,6-dichloroxylylene), i.e. PARYLENE D film and “PARYLENE HT” (a completely fluorine substituted version of PARYLENE N. The advantage of parylene layers as moisture barrier layers in a panel or screen of the present invention layer is the temperature resistance of the layers, the temperature resistance of the parylene layers is such that they can withstand the temperature need for vacuum depositing the storage phosphor. The use of parylene layers in storage phosphor screens has been disclosed in, e.g., EP-A's 1 286 362, 1 286 363, 1 286 364 and 1 286 365. Thus a screen or a panel according to this third embodiment of the invention as set forth hereinbefore has (FIG. 3) a phosphor layer (1) and a support (2) wherein the support includes an amorphous carbon layer (23) and between the phosphor and the amorphous carbon layer a specularly reflecting layer (22) adjacent to the amorphous carbon layer and a parylene layer (21) on top of the reflecting layer. A polymeric layer (24) is laminated to the amorphous carbon layer. In a preferred embodiment according to the present invention said reflective auxiliary layer (22) is an aluminum layer with a thickness between 0.2 μm and 200 μm. The invention moreover includes a method for producing a storage phosphor panel comprising the steps of: providing an amorphous carbon film, vacuum depositing a storage phosphor layer on said amorphous carbon film and optionally laminating a polymeric film on the side of the amorphous carbon film not covered by said phosphor. The invention further includes a method for producing a storage phosphor panel comprising the steps of: providing an amorphous carbon film applying a specularly reflecting layer on said amorphous carbon film, vacuum depositing a storage phosphor layer on said amorphous carbon film and optionally laminating a polymeric film on the side of the amorphous carbon film not covered by said phosphor. The invention further includes a method for producing a storage phosphor panel comprising the steps of: providing an amorphous carbon film applying a specularly reflecting layer on said amorphous carbon film chemical vacuum depositing a parylene layer on top of said specularly reflecting layer, vacuum depositing a storage phosphor layer on said amorphous carbon film and, optionally, laminating a polymeric film on the side of the amorphous carbon film not covered by said phosphor. The screen or panel of this invention can include on top of the phosphor layer any protective layer known in the art. Especially suitable for use are those protective layers disclosed in EP-A's 1 286 363, 1 316 969 and 1 316 970. Screens or panels according to the present invention, wherein a moisture-repellent layer is present inbetween said substrate and said phosphor layer are advantageously used, and, furtheron a screen or panel according to the present invention, wherein, adjacent to the said phosphor layer, a moisture-repellent layer is coated as an outermost layer is even more preferred. Especially said screens or panels having moisture-repellent parylene layers are recommended. Screens or panels, wherein said phosphor layer is sandwiched between two moisture-repellent parylene layers provides an excellent protection. The screen or the panel of the present invention may also have reinforced edges as described in, e.g., U.S. Pat. No. 5,334,842 and U.S. Pat. No. 5,340,661. The surface of the phosphor layer (1) in a panel or screen of the present invention can be made smaller than the surface of the support (2) so that the phosphor layer does not reach the edges of the support. Such a screen has been disclosed in, e.g., EP-A 1 286 363. The storage phosphor used in a panel or screen of the present invention is preferably an alkali metal storage phosphor. Such a phosphor is disclosed in U.S. Pat. No. 5,736,069 and corresponds to the formula: M1+X.aM2+X′2bM3+X″3:cZ wherein: M1+ is at least one member selected from the group consisting of Li, Na, K, Cs and Rb, M2+ is at least one member selected from the group consisting of Be, Mg, Ca, Sr, Ba, Zn, Cd, Cu, Pb and Ni, M3+ is at least one member selected from the group consisting of Sc, Y, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Al, Bi, In and Ga, Z is at least one member selected from the group Ga1+, Ge2+, Sn2+, Sb3+ and As3+, X, X′ and X″ can be the same or different and each represents a halogen atom selected from the group consisting of F, Br, Cl, I and 0≦a≦1, 0≦b≦1 and 0<c≦0.2. An especially preferred phosphor for use in a panel or screen of the present invention is a CsX:Eu stimulable phosphor, wherein X represents a halide selected from the group consisting of Br and Cl, produced by a method comprising the steps of: mixing said CsX with between 10−3 and 5 mol % of a Europium compound selected from the group consisting of EuOX′, EuX′2 and EuX′3, X′ being a member selected from the group consisting of F, Cl, Br and I; firing said mixture at a temperature above 450° C.; cooling said mixture and recovering the CsX:Eu phosphor. Such a phosphor has been disclosed in EP-A-1 203 394. The phosphor is preferably vacuum deposited on the support under conditions disclosed in EP-A-1 113 458 and EP-A-1 118 540. In a preferred embodiment the panel or screen according to the present invention is a binderless phosphor panel or screen, wherein said phosphor layer comprises a needle-shaped CsX:Eu phosphor, wherein X represents a halide selected from the group consisting of Br and Cl. The present invention moreover includes a method for exposing an object to X-rays comprising the steps of: providing an X-ray machine including an X-ray tube equipped for emitting X-rays with an energy lower than or equal to 70 keV and a phototimer coupled to said X-ray tube for switching said tube on and off in accordance with an X-ray dose reaching said phototimer, placing an object between said X-ray tube and said phototimer placing a binderless storage phosphor panel or screen according to this invention between said object and said phototimer and activating said X-ray tube for exposing said object, said cassette and said phototimer until said phototimer switches said X-ray tube off. The present invention further includes a method according as described just hereinbefore, wherein said X-ray tube is equipped for emitting X-rays with an energy lower than or equal to 40 keV. A screen or panel of this invention is thus very well suited for use in mammography where X-ray machines with low keV are used, and in certain non-destructive testing applications. 1. phosphor layer 2. support 21 auxiliary layer, moisture barrier layer 22 auxiliary layer, specularly reflecting layer 23 amorphous carbon layer 24 auxiliary layer, polymeric layer While the present invention will hereinafter be described in connection with preferred embodiments thereof, it will be understood that it is not intended to limit the invention to those embodiments. An X-ray cassette with a phosphor screen or panel was exposed with X-rays having an energy of 28 keV from a Mo-anode (30 μm Mo, intern filtering and without filtering, respectively). A Mammory Detail R® screen, trade marketed product from Agfa-Gevaert, Morstel, Belgium, was taken as a comparative screen: as that system just admits use of a “phototimer” (with respect to absorption of X-ray exposure energy as explained in the detailed description hereinbefore). Absorption for all examined cassettes with screens or panels should thus not exceed the absorption, measured for the comparative screen, set forth hereinbefore. Starting from a 10 mR X-ray dose reaching the cassette, X-rays passing through 4 cm of a polymethyl methacrylate polymeric layer, further consecutively passing the cassette bottom (3 mm of polyethylene), the panel or screen (varying composition in the experiments as will be explained hereinafter) and the cassette cover (4.1 mm of polyethylene), it has been measured that a dose in the range from 0.75 up to 0.85 mR is required in order to get an acceptable and precise working of the “phototimer”, in order to avoid too much exposure to X-rays for the patient. In the panels or screens, CsBr:Eu phosphor layers (of varying thicknesses, expressed in μm and indicated in the Table 1) were coated on varying supports (aluminum, a-C “amorphous carbon”, glass and iron), having varying thicknesses (expressed in μm in the Table 1) and X-ray energies (doses in mR) reaching the “phototimer” have been summarized in the Table 1 for each examined panel or screen. As a thickness of the support layer, the thickness still offering enough dose at the position of the phototimer after the X-rays have passed the cassette, for differing thicknesses of the CsBr:Eu phosphor layer, have been given in the Table 1 hereinafter. TABLE 1Support material andCsBr:Eu phosphor layerDose detected at theits thickness (μm)thickness (μm)phototimer (mR)Al 100μm150μm0.75Al 400μm125μm0.78Al 800μm100μm0.76a-C 2000μm150μm0.73a-C 2000μm125μm0.81a-C 2000μm100μm0.91Glass 2000μm140μm0.95Glass 2000μm150μm0.85Glass 2000μm160μm0.76Fe 100μm60μm0.55Fe 100μm80μm0.44Fe 100μm100μm0.36 From the results obtained in the Table 1, it is clear that the amorphous carbon (a-C) support is superior as little absorption occurs, if compared e.g. with Fe (not suitable for use, even not for a layer thickness of only 100 μm) and with aluminum (suitable for use up to 800 μm for a thinner phosphor layer of 100 μm): amorphous carbon provides enough dose at the position of the phototimer, even for the thickest phosphor layer (150 μm) and a thickness of 2000 μm is perfectly suitable for use! Amorphous carbon is comparable with glass as illustrated in Table 1, but it is superior with respect to glass as it is much more suitable to be applied in the manufacturing of phosphor panels or screens of the present invention. Having described in detail preferred embodiments of the current invention, it will now be apparent to those skilled in the art that numerous modifications can be made therein without departing from the scope of the invention as defined in the appending claims.
abstract
A method and apparatus are described herein which allow the progression of delamination of a film to be monitored. An interferometer is used to detect the onset and progression of thin film delamination. By projecting one or more wavelengths at a surface, and measuring the reflectance of these projected wavelengths, it is possible to monitor the progression of the delamination process. Testing has shown that different stages of the delamination process produce different reflectance graphs. This information can be used to establish implantation parameters, or can be used as an in situ monitor. The same techniques can be used for other applications. For example, in certain implantation systems, such as PECVD, a film of material may developed on the walls of the chamber. The techniques described herein can be used to monitor this separation, and determine when preventative maintenance may be performed on the chamber.
summary
abstract
The invention relates to an activation device for temperature-sensitive and/or time-sensitive indicators for product labeling, said indicators being activated by UV light and said device comprising a UV light source. The device is equipped with a controller/regulator, which can be used to control and/or regulate the irradiation period and/or the irradiation intensity of the UV light source.
abstract
A charged particle beam apparatus for acquiring high-definition and highly contrasted observation images by detecting efficiently secondary signals without increasing aberration of the primary electron beam, detecting defects from observation images and thus increasing the inspection speed and enhancing the sensitivity of inspection. The desired area of the sample is scanned with a primary charged particle beam, and the secondary charged particles generated secondarily from the area by the irradiation of the primary charged particle beam are led to collide with the secondary electron conversing electrode, and then the secondary electrons generated by the first E×B deflector 31 arranged through an insulator on the surface of the secondary electron conversing electrode on the side of the sample is absorbed by the detector. At the same time, the deflection chromatic aberration that had been generated in the primary charged particle beam by the first E×B deflector is reduced by the second E×B deflector arranged on the first E×B deflector, to obtain high-definition and highly contrasted observation images free of shading.
051679094
claims
1. In a fuel assembly of a nuclear reactor cooled by light water, consisting of a framework comprising longitudinal guide tubes, transverse spacer grids and end connectors, and a bundle of parallel fuel rods held in the framework by holding elements in the region of the spacer grids, a lower connector, intended to come to rest on a support plate of the core of the reactor having a transverse element for the absorption of force and for retaining the fuel rods, said lower connector comprising a reticular structure resistant to bending and limited externally by a frame, said reticular structure having a cross-section corresponding substantially to a cross-section of said fuel assembly, and having walls delimiting large-size cells and projecting parts which project relative to said large-size cells in an extension of said walls, a retaining plate having a thickness less than a thickness of said reticular structure and being pierced by a regular network of orifices, said orifices having a dimension which is smaller than a maximum dimension of spaces between said fuel rods and said elements holding said fuel rods in said spacer grids, said retaining plate being superposed on and welded to said reticular structure through ends of said projecting parts in an arrangement parallel to faces of said reticular structure and with a spacing (b) determined by said projecting parts, so that said transverse element is in the form of a box and provides, between said reticular structure and said retaining plate, a free space for steadying a flow of cooling water of said reactor and for recovering debris. 2. Lower connector according to claim 1, wherein said retaining plate has passing through it orifices, each consisting of two converging bores arranged angularly relative to one another and pointed in an oblique direction in relation to faces of said retaining plate. 3. Lower connector according to claim 1, wherein said reticular structure is produced in one piece by molding. 4. Lower connector according to claim 3, wherein said reticular structure is produced by molding of an alloyed steel containing less than 0.08% of C, 24 to 27% of Ni, 13.5 to 16% of Cr, 1.00 to 1.50% of Mo, 1.90 to 2.5% of Ti, less than 0.35% of 1 and 0.10 to 0.50% of U. 5. Lower connector according to claim 3, wherein said reticular structure is produced by molding of a steel containing less than 0.03% of C, less than 1.20% of Si, less than 1.50% of Mo 17 to 20% of Cr and 8 to 12% of Ni. 6. Lower connector according to claim 3, wherein said reticular structure is produced by molding of a nickel alloy.
description
This application is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016, which is: a continuation-in-part of U.S. patent application Ser. No. 15/152,479 filed May 11, 2016, which: is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/087,096 filed Apr. 14, 2011, which claims benefit of U.S. provisional patent application No. 61/324,776 filed Apr. 16, 2010; and is a continuation-in-part of U.S. patent application Ser. No. 13/788,890 filed Mar. 7, 2013; is a continuation-in-part of U.S. patent application Ser. No. 14/952,817 filed Nov. 25, 2015, which is a continuation-in-part of U.S. patent application Ser. No. 14/293,861 filed Jun. 2, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 12/985,039 filed Jan. 5, 2011, which claims the benefit of U.S. provisional patent application No. 61/324,776, filed Apr. 16, 2010; is a continuation-in-part of U.S. patent application Ser. No. 14/860,577 filed Sep. 21, 2015, which is a continuation of U.S. patent application Ser. No. 14/223,289 filed Mar. 24, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 12/985,039 filed Jan. 5, 2011, which claims the benefit of U.S. provisional patent application No. 61/324,776, filed Apr. 16, 2010; and is a continuation-in-part U.S. patent application Ser. No. 15/073,471 filed Mar. 17, 2016, which claims benefit of U.S. provisional patent application No. 62/304,839 filed Mar. 7, 2016, is a continuation-in-part of U.S. patent application Ser. No. 14/860,577 filed Sep. 21, 2015, which is a continuation of U.S. patent application Ser. No. 14/223,289 filed Mar. 24, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/572,542 filed Aug. 10, 2012, which is a continuation-in-part of U.S. patent application Ser. No. 12/425,683 filed Apr. 17, 2009, which claims the benefit of U.S. provisional patent application No. 61/055,395 filed May 22, 2008, now U.S. Pat. No. 7,939,809 B2; all of which are incorporated herein in their entirety by this reference thereto. The invention relates generally to imaging and treating a tumor. Cancer Treatment Proton therapy works by aiming energetic ionizing particles, such as protons accelerated with a particle accelerator, onto a target tumor. These particles damage the DNA of cells, ultimately causing their death. Cancerous cells, because of their high rate of division and their reduced ability to repair damaged DNA, are particularly vulnerable to attack on their DNA. Patents related to the current invention are summarized here. Proton Beam Therapy System F. Cole, et. al. of Loma Linda University Medical Center “Multi-Station Proton Beam Therapy System”, U.S. Pat. No. 4,870,287 (Sep. 26, 1989) describe a proton beam therapy system for selectively generating and transporting proton beams from a single proton source and accelerator to a selected treatment room of a plurality of patient treatment rooms. Imaging P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,274,018 (Sep. 25, 2007) and P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,045,781 (May 16, 2006) describe a charged particle beam apparatus configured for serial and/or parallel imaging of an object. K. Hiramoto, et. al. “Ion Beam Therapy System and its Couch Positioning System”, U.S. Pat. No. 7,193,227 (Mar. 20, 2007) describe an ion beam therapy system having an X-ray imaging system moving in conjunction with a rotating gantry. C. Maurer, et. al. “Apparatus and Method for Registration of Images to Physical Space Using a Weighted Combination of Points and Surfaces”, U.S. Pat. No. 6,560,354 (May 6, 2003) described a process of X-ray computed tomography registered to physical measurements taken on the patient's body, where different body parts are given different weights. Weights are used in an iterative registration process to determine a rigid body transformation process, where the transformation function is used to assist surgical or stereotactic procedures. M. Blair, et. al. “Proton Beam Digital Imaging System”, U.S. Pat. No. 5,825,845 (Oct. 20, 1998) describe a proton beam digital imaging system having an X-ray source that is movable into a treatment beam line that can produce an X-ray beam through a region of the body. By comparison of the relative positions of the center of the beam in the patient orientation image and the isocentre in the master prescription image with respect to selected monuments, the amount and direction of movement of the patient to make the best beam center correspond to the target isocentre is determined. S. Nishihara, et. al. “Therapeutic Apparatus”, U.S. Pat. No. 5,039,867 (Aug. 13, 1991) describe a method and apparatus for positioning a therapeutic beam in which a first distance is determined on the basis of a first image, a second distance is determined on the basis of a second image, and the patient is moved to a therapy beam irradiation position on the basis of the first and second distances. There exists in the art of charged particle cancer therapy a need for accurate, precise, and rapid beam energy changes in imaging and tumor therapy. The invention comprises an energy adjustment apparatus of a previously accelerated charged particle beam and method of use thereof. Elements and steps in the figures are illustrated for simplicity and clarity and have not necessarily been rendered according to any particular sequence. For example, steps that are performed concurrently or in different order are illustrated in the figures to help improve understanding of embodiments of the present invention. The invention relates generally to a beam adjustment system used to perform energy adjustments on circulating charged particles in a synchrotron previously accelerated to a starting energy with a traditional accelerator of the synchrotron or related devices, such as a cyclotron. The beam adjustment system uses a radio-frequency modulated potential difference applied along a longitudinal path of the circulating charged particles to accelerate or decelerate the circulating charged particles. Optionally, the beam adjustment system phase shifts the applied radio-frequency field to accelerate or decelerate the circulating charged particle while spatially longitudinally tightening a grouped bunch of the circulating charged particles. The beam adjustment system facilitates treating multiple layers or depths of the tumor between the slow step of reloading the synchrotron. Optionally, the potential differences across a gap described herein are used to accelerate or decelerate the charged particle after extraction from the synchrotron without use of the radio-frequency modulation. In another embodiment, an imaging system, such as a positron emission tracking system, optionally used to control the beam adjustment system, is used to: dynamically determine a treatment beam position, track a history of treatment beam positions, guide the treatment beam, and/or image a tumor before, during, and/or after treatment with the charged particle beam. In another embodiment, an imaging system translating on a linear path past a patient operates alternatingly with and/or during a gantry rotating a treatment beam around the patient. More particularly, a method for both imaging a tumor and treating the tumor of a patient using positively charged particles includes the steps of: (1) rotating a gantry support and/or gantry, connected to at least a portion of a beam transport system configured to pass a charged particle treatment beam, circumferentially about the patient and a gantry rotation axis; (2) translating a translatable imaging system past the patient on a path parallel to an axis perpendicular to the gantry rotation axis; (3) imaging the tumor using the translatable imaging system; and (4) treating the tumor using the treatment beam. In another embodiment a method for imaging and treating a tumor of a patient with positively charged particles, comprises the steps of: (1) using a rotatable gantry support to support and rotate a section of a positively charged particle beam transport line about a rotation axis and a tumor of a patient; (2) using a rotatable and optionally extendable secondary support to support, circumferentially position, and laterally position a primary and optional secondary imaging system about the tumor; (3) image the tumor using the primary and optional secondary imaging system as a function of rotation and/or translation of the secondary support; and (4) treat, optionally concurrently, the tumor using the positively charged particles as a function of circumferential position of the section of the charged particle beam about the tumor. In another embodiment a method and apparatus for imaging a tumor of a patient using positively charged particles and X-rays, comprises the steps of: (1) transporting the positively charged particles from an accelerator to a patient position using a beam transport line, where the beam transport line comprises a positively charged particle beam path and an X-ray beam path; (2) detecting scintillation induced by the positively charged particles using a scintillation detector system; (3) detecting X-rays using an X-ray detector system; (4) positioning a mounting rail through linear extension/retraction to: at a first time and at a first extension position of the mounting rail, position the scintillation detector system opposite the patient position from the exit nozzle and at a second time and at a second extension position of the mounting rail, position the X-ray detector system opposite the patient position from the exit nozzle; (5) generating an image of the tumor using output of the scintillation detector system and the X-ray detector system; and (6) alternating between the step of detecting scintillation and treating the tumor via irradiation of the tumor using the positively charged particles. In another embodiment, a method or apparatus for tomographically imaging a sample, such as a tumor of a patient, using positively charged particles is described. Position, energy, and/or vectors of the positively charged particles are determined using a plurality of scintillators, such as layers of chemically distinct scintillators where each chemically distinct scintillator emits photons of differing wavelengths upon energy transfer from the positively charged particles. Knowledge of position of a given scintillator type and a color of the emitted photon from the scintillator type allows a determination of residual energy of the charged particle energy in a scintillator detector. Optionally, a two-dimensional detector array additionally yields x/y-plane information, coupled with the z-axis energy information, about state of the positively charged particles. State of the positively charged particles as a function of relative sample/particle beam rotation is used in tomographic reconstruction of an image of the sample or the tumor. In another example, a method or apparatus for tomographic imaging of a tumor of a patient using positively charged particles respectively positions a plurality of two-dimensional detector arrays on multiple surfaces of a scintillation material or scintillator. For instance, a first two-dimensional detector array is optically coupled to a first side or surface of a scintillation material, a second two-dimensional detector array is optically coupled to a second side of the scintillation material, and a third two-dimensional detector array is optically coupled to a third side of the scintillation material. Secondary photons emitted from the scintillation material, resultant from energy transfer from the positively charged particles, are detected by the plurality of two-dimensional detector arrays, where each detector array images the scintillation material. Combining signals from the plurality of two-dimensional detector arrays, the path, position, energy, and/or state of the positively charged particle beam as a function of time and/or rotation of the patient relative to the positively charged particle beam is determined and used in tomographic reconstruction of an image of the tumor in the patient or a sample. Particularly, a probabilistic pathway of the positively charged particles through the sample, which is altered by sample constituents, is constrained, which yields a higher resolution, a more accurate and/or a more precise image. In another example, a scintillation material is longitudinally packaged in a circumferentially surrounding sheath, where the sheath has a lower index of refraction than the scintillation material. The scintillation material yields emitted secondary photons upon passage of a charged particle beam, such as a positively charged residual particle beam having transmitted through a sample. The internally generated secondary photons within the sheath are guided to a detector element by the difference in index of refraction between the sheath and the scintillation material, similar to a light pipe or fiber optic. The coated scintillation material or fiber is referred to herein as a scintillation optic. Multiple scintillation optics are assembled to form a two-dimensional scintillation array. The scintillation array is optionally and preferably coupled to a detector or two-dimensional detector array, such as via a coupling optic, an array of focusing optics, and/or a color filter array. In another embodiment, an ion source is coupled to the apparatus. The ion source extraction system facilitates on demand extraction of charged particles at relatively low voltage levels and from a stable ion source. For example, a triode extraction system allows extraction of charged particles, such as protons, from a maintained temperature plasma source, which reduces emittance of the extracted particles and allows use of lower, more maintainable downstream potentials to control an ion beam path of the extracted ions. The reduced emittance facilitates ion beam precision in applications, such as in imaging, tumor imaging, tomographic imaging, and/or cancer treatment. In another embodiment, a state of a charged particle beam is monitored and/or checked, such as against a previously established radiation plan, in a position just prior to the beam entering the patient. In one example, the charged particle beam state is measured after a final manipulation of intensity, energy, shape, and/or position, such as via use of an insert, a range filter, a collimator, an aperture, and/or a compensator. In one case, one or more beam crossing elements, sheets, coatings, or layers, configured to emit photons upon passage therethrough by the charged particle beam, are positioned between the final manipulation apparatus, such as the insert, and prior to entry into the patient. In another embodiment, a patient specific tray insert is inserted into a tray frame to form a beam control tray assembly, the beam control tray assembly is inserted into a slot of a tray receiver assembly, and the tray assembly is positioned relative to a gantry nozzle. Optionally, multiple tray inserts, each used to control a beam state parameter, are inserted into slots of the tray receiver assembly. The beam control tray assembling includes an identifier, such as an electromechanical identifier, of the particular insert type, which is communicated to a main controller, such as via the tray receiver assembly. Optionally and preferably, a hand control pendant is used in loading and/or positioning the tray receiver assembly. In another embodiment, a gantry positions both: (1) a section of a beam transport system, such as a terminal section, used to transport and direct positively charged particles to a tumor and (2) at least one imaging system. In one case, the imaging system is orientated on a same axis as the positively charged particle, such as at a different time through rotation of the gantry. In another case, the imaging system uses at least two crossing beamlines, each beamline coupled to a respective detector, to yield multiple views of the patient. In another case, one or more imaging subsystem yields a two-dimensional image of the patient, such as for position confirmation and/or as part of a set of images used to develop a three-dimensional image of the patient. In still another embodiment, multiple linked control stations are used to control position of elements of a beam transport system, nozzle, and/or patient specific beam shaping element relative to a dynamically controlled patient position and/or an imaging surface, element, or system. In yet another embodiment, a tomography system is optionally used in combination with a charged particle cancer therapy system. The tomography system uses tomography or tomographic imaging, which refers to imaging by sections or sectioning through the use of a penetrating wave, such as a positively charge particle from an injector and/or accelerator. Optionally and preferably, a common injector, accelerator, and beam transport system is used for both charged particle based tomographic imaging and charged particle cancer therapy. In one case, an output nozzle of the beam transport system is positioned with a gantry system while the gantry system and/or a patient support maintains a scintillation plate of the tomography system on the opposite side of the patient from the output nozzle. In another example, a charged particle state determination system, of a cancer therapy system or tomographic imaging system, uses one or more coated layers in conjunction with a scintillation material, scintillation detector and/or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment, such as to determine an input vector of the charged particle beam into a patient and/or an output vector of the charged particle beam from the patient. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system. The cancer therapy system uses the same injector, accelerator, and guided delivery system in delivering charged particles to the cancerous tumor. For example, the tomography apparatus and cancer therapy system use a common raster beam method and apparatus for treatment of solid cancers. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle accelerator used in: (1) tomography and (2) cancer therapy. Optionally, the system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered radiation beam energy, delivered radiation beam intensity, beam velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue. In another embodiment, a treatment delivery control system (TDCS) or main controller is used to control multiple aspects of the cancer therapy system, including one or more of: an imaging system, such as a CT or PET; a positioner, such as a couch or patient interface module; an injector or injection system; a radio-frequency quadrupole system; a ring accelerator or synchrotron; an extraction system; an irradiation plan; and a display system. The TDCS is preferably a control system for automated cancer therapy once the patient is positioned. The TDCS integrates output of one or more of the below described cancer therapy system elements with inputs of one or more of the below described cancer therapy system elements. More generally, the TDCS controls or manages input and/or output of imaging, an irradiation plan, and charged particle delivery. In yet another embodiment, one or more trays are inserted into the positively charged particle beam path, such as at or near the exit port of a gantry nozzle in close proximity to the patient. Each tray holds an insert, such as a patient specific insert for controlling the energy, focus depth, and/or shape of the charged particle beam. Examples of inserts include a range shifter, a compensator, an aperture, a ridge filter, and a blank. Optionally and preferably, each tray communicates a held and positioned insert to a main controller of the charged particle cancer therapy system. The trays optionally hold one or more of the imaging sheets configured to emit light upon transmission of the charged particle beam through a corresponding localized position of the one or more imaging sheets. For clarity of presentation and without loss of generality, throughout this document, treatment systems and imaging systems are described relative to a tumor of a patient. However, more generally any sample is imaged with any of the imaging systems described herein and/or any element of the sample is treated with the positively charged particle beam(s) described herein. Charged Particle Beam Therapy Throughout this document, a charged particle beam therapy system, such as a proton beam, hydrogen ion beam, or carbon ion beam, is described. Herein, the charged particle beam therapy system is described using a proton beam. However, the aspects taught and described in terms of a proton beam are not intended to be limiting to that of a proton beam and are illustrative of a charged particle beam system, a positively charged beam system, and/or a multiply charged particle beam system, such as C4+ or C6+. Any of the techniques described herein are equally applicable to any charged particle beam system. Referring now to FIG. 1A, a charged particle beam system 100 is illustrated. The charged particle beam preferably comprises a number of subsystems including any of: a main controller 110; an injection system 120; a synchrotron 130 that typically includes: (1) an accelerator system 131 and (2) an internal or connected extraction system 134; a beam transport system 135; a scanning/targeting/delivery system 140; a patient interface module 150; a display system 160; and/or an imaging system 170. An exemplary method of use of the charged particle beam system 100 is provided. The main controller 110 controls one or more of the subsystems to accurately and precisely deliver protons to a tumor of a patient. For example, the main controller 110 obtains an image, such as a portion of a body and/or of a tumor, from the imaging system 170. The main controller 110 also obtains position and/or timing information from the patient interface module 150. The main controller 110 optionally controls the injection system 120 to inject a proton into a synchrotron 130. The synchrotron typically contains at least an accelerator system 131 and an extraction system 134. The main controller 110 preferably controls the proton beam within the accelerator system, such as by controlling speed, trajectory, and timing of the proton beam. The main controller then controls extraction of a proton beam from the accelerator through the extraction system 134. For example, the controller controls timing, energy, and/or intensity of the extracted beam. The controller 110 also preferably controls targeting of the proton beam through the scanning/targeting/delivery system 140 to the patient interface module 150. One or more components of the patient interface module 150, such as translational and rotational position of the patient, are preferably controlled by the main controller 110. Further, display elements of the display system 160 are preferably controlled via the main controller 110. Displays, such as display screens, are typically provided to one or more operators and/or to one or more patients. In one embodiment, the main controller 110 times the delivery of the proton beam from all systems, such that protons are delivered in an optimal therapeutic manner to the tumor of the patient. Herein, the main controller 110 refers to a single system controlling the charged particle beam system 100, to a single controller controlling a plurality of subsystems controlling the charged particle beam system 100, or to a plurality of individual controllers controlling one or more sub-systems of the charged particle beam system 100. Referring again to FIG. 26B, in a first genus of a lower potential at the gap exit side 2630 relative to a reference potential of the gap entrance side 2620, in a first species case of the applied radio-frequency phase shifted to reach a maximum negative potential after arrival of a peak intensity of particles in a particle bunch, circulating as a group in the ring, at the gap exit side 2630, then the trailing charged particles of the particle bunch are accelerated relative to the mean position of charged particles of the particle bunch resulting in: (1) focusing/tightening distribution of the circulating particle bunch by relative acceleration of a trailing edge of particles in the particle bunch and (2) increasing the mean energy of the circulating particle bunch. More particularly, using a phase matched applied radio-frequency field, a particle bunch is accelerated. However, a delayed phase of the applied radio-frequency accelerates trailing particles of the particle bunch more than the acceleration of a mean position of the particle bunch, which results in a different mean increased velocity/energy of the particle bunch relative to an in-phase acceleration of the particle bunch. In a second species case of the applied radio-frequency phase shifted to reach a maximum negative potential before arrival of a peak intensity of particles in the particle bunch at the gap exit side 2630, then the leading charged particles of the particle bunch are accelerated less than the peak distribution of the particle bunch resulting in: (1) focusing/tightening distribution of the circulating particle bunch and/or (2) an acceleration of the circulating particle bunch differing from an in-phase acceleration of the particle bunch. Referring now to FIG. 1B, an example of a charged particle cancer therapy system 100 is provided. A main controller receives input from one, two, three, or four of a respiration monitoring and/or controlling controller 180, a beam controller 185, a rotation controller 147, and/or a timing to a time period in a respiration cycle controller 148. The beam controller 185 preferably includes one or more or a beam energy controller 182, the beam intensity controller 340, a beam velocity controller 186, and/or a horizontal/vertical beam positioning controller 188. The main controller 110 controls any element of the injection system 120; the synchrotron 130; the scanning/targeting/delivery system 140; the patient interface module 150; the display system 160; and/or the imaging system 170. For example, the respiration monitoring/controlling controller 180 controls any element or method associated with the respiration of the patient; the beam controller 185 controls any of the elements controlling acceleration and/or extraction of the charged particle beam; the rotation controller 147 controls any element associated with rotation of the patient 830 or gantry; and the timing to a period in respiration cycle controller 148 controls any aspects affecting delivery time of the charged particle beam to the patient. As a further example, the beam controller 185 optionally controls any magnetic and/or electric field about any magnet in the charged particle cancer therapy system 100. One or more beam state sensors 190 sense position, direction, intensity, and/or energy of the charged particles at one or more positions in the charged particle beam path. A tomography system 700, described infra, is optionally used to monitor intensity and/or position of the charged particle beam. Referring now to FIG. 1C, an illustrative exemplary embodiment of one version of the charged particle beam system 100 is provided. The number, position, and described type of components is illustrative and non-limiting in nature. In the illustrated embodiment, the injection system 120 or ion source or charged particle beam source generates protons. The injection system 120 optionally includes one or more of: a negative ion beam source, an ion beam focusing lens, and a tandem accelerator. The protons are delivered into a vacuum tube that runs into, through, and out of the synchrotron. The generated protons are delivered along an initial path 262. Optionally, focusing magnets 127, such as quadrupole magnets or injection quadrupole magnets, are used to focus the proton beam path. A quadrupole magnet is a focusing magnet. An injector bending magnet 128 bends the proton beam toward a plane of the synchrotron 130. The focused protons having an initial energy are introduced into an injector magnet 129, which is preferably an injection Lamberson magnet. Typically, the initial beam path 262 is along an axis off of, such as above, a circulating plane of the synchrotron 130. The injector bending magnet 128 and injector magnet 129 combine to move the protons into the synchrotron 130. Main bending magnets, dipole magnets, turning magnets, or circulating magnets 132 are used to turn the protons along a circulating beam path 264. A dipole magnet is a bending magnet. The main bending magnets 132 bend the initial beam path 262 into a circulating beam path 264. In this example, the main bending magnets 132 or circulating magnets are represented as four sets of four magnets to maintain the circulating beam path 264 into a stable circulating beam path. However, any number of magnets or sets of magnets are optionally used to move the protons around a single orbit in the circulation process. The protons pass through an accelerator 133. The accelerator accelerates the protons in the circulating beam path 264. As the protons are accelerated, the fields applied by the magnets are increased. Particularly, the speed of the protons achieved by the accelerator 133 are synchronized with magnetic fields of the main bending magnets 132 or circulating magnets to maintain stable circulation of the protons about a central point or region 136 of the synchrotron. At separate points in time the accelerator 133/main bending magnet 132 combination is used to accelerate and/or decelerate the circulating protons while maintaining the protons in the circulating path or orbit. An extraction element of an inflector/deflector system is used in combination with a Lamberson extraction magnet 137 to remove protons from their circulating beam path 264 within the synchrotron 130. One example of a deflector component is a Lamberson magnet. Typically the deflector moves the protons from the circulating plane to an axis off of the circulating plane, such as above the circulating plane. Extracted protons are preferably directed and/or focused using an extraction bending magnet 142 and optional extraction focusing magnets 141, such as quadrupole magnets, and optional bending magnets along a positively charged particle beam transport path 268 in a beam transport system 135, such as a beam path or proton beam path, into the scanning/targeting/delivery system 140. Two components of a scanning system 140 or targeting system typically include a first axis control 143, such as a vertical control, and a second axis control 144, such as a horizontal control. In one embodiment, the first axis control 143 allows for about 100 mm of vertical or y-axis scanning of the proton beam 268 and the second axis control 144 allows for about 700 mm of horizontal or x-axis scanning of the proton beam 268. A nozzle system 146 is used for imaging the proton beam, for defining shape of the proton beam, and/or as a vacuum barrier between the low pressure beam path of the synchrotron and the atmosphere. Protons are delivered with control to the patient interface module 150 and to a tumor of a patient. All of the above listed elements are optional and may be used in various permutations and combinations. Ion Extraction from Ion Source A method and apparatus are described for extraction of ions from an ion source. For clarity of presentation and without loss of generality, examples focus on extraction of protons from the ion source. However, more generally cations of any charge are optionally extracted from a corresponding ion source with the techniques described herein. For instance, C4+ or C6+ are optionally extracted using the ion extraction methods and apparatus described herein. Further, by reversing polarity of the system, anions are optionally extracted from an anion source, where the anion is of any charge. Herein, for clarity of presentation and without loss of generality, ion extraction is coupled with tumor treatment and/or tumor imaging. However, the ion extraction is optional used in any method or apparatus using a stream or time discrete bunches of ions. Diode Extraction Referring now to FIG. 2A and FIG. 2B, a first ion extraction system is illustrated. The first ion extraction system uses a diode extraction system 200, where a first element of the diode extraction system is an ion source 122 or first electrode at a first potential and a second element 202 of the diode extraction system is at a second potential. Generally, the first potential is raised or lowered relative to the second potential to extract ions from the ion source 122 along the z-axis or the second potential is raised or lowered relative to the first potential to extract ions from the ion source 122 along the z-axis, where polarity of the potential difference determines if anions or cations are extracted from the ion source 122. Still referring to FIG. 2A and FIG. 2B, an example of ion extraction from the ion source 122 is described. As illustrated in FIG. 2A, in a non-extraction time period, a non-extraction diode potential, A1, of the ion source 122 is held at a potential equal to a potential, B1, of the second element 202. Referring now to FIG. 2B, during an extraction time period, a diode extraction potential, A2, of the ion source 122 is raised, causing a positively charged cation, such as the proton, to be drawn out of the ion chamber toward the lower potential of the second element 202. Similarly, if the diode extraction potential, A2, of the ion source is lowered relative a potential, B1, then an anion is extracted from the ion source 122 toward a higher potential of the second element 202. In the diode extraction system 200, the voltage of a large mass and corresponding large capacitance of the ion source 122 is raised or lowered, which takes time, has an RC time constant, and results in a range of temperatures of the plasma during the extraction time period, which is typically pulsed on and off with time. Particularly, as the potential of the ion source 122 is cycled with time, the ion source 122 temperature cycles, which results in a range of emittance values, resultant from conservation of momentum, and a corresponding less precise extraction beam. Alternatively, potential of the second element 202 is varied, altered, pulsed, or cycled, which reduces a range of emittance values during the extraction process. Triode Extraction Referring now to FIG. 2C and FIG. 2D, a second ion extraction system is illustrated. The second ion extraction system uses a triode extraction system 210. The triode extraction system 210 uses: (1) an ion source 122, (2) a gating electrode 204 also referred to as a suppression electrode, and (3) an extraction electrode 206. Optionally, a first electrode of the triode extraction system 210 is positioned proximate the ion source 122 and is maintained at a potential as described, infra, using the ion source as the first electrode of the triode extraction system. Generally, potential of the gating electrode 204 is raised and lowered to, as illustrated, stop and start extraction of a positive ion. Varying the potential of the gating electrode 204 has the advantages of altering the potential of a small mass with a correspondingly small capacitance and small RC time constant, which via conservation of momentum, reduces emittance of the extracted ions. Optionally, a first electrode maintained at the first potential of the ion source is used as the first element of the triode extraction system in place of the ion source 122 while also optionally further accelerating and/or focusing the extracted ions or set of ions using the extraction electrode 206. Several example further describe the triode extraction system 210. Referring again to FIG. 26C, in a second genus of a larger potential at the gap exit side 2630 relative to the gap entrance side 2620, using the same logic of distribution edges of the bunch particles accelerating faster or slower relative to the mean velocity of the bunch particles depending upon relative strength of the applied field, the particle bunch is: (1) focused/tightened/distribution reduced and (2) edge distributions of the particle bunch are accelerated or decelerated relative to deceleration of peak intensity particles of the particle bunch using appropriate phase shifting. For example, a particle bunch undergoes deceleration across the path gap 2610 when a voltage of the gap exit side 2630 is larger than a potential of the gap entrance side 2620 and in the first case of the phase shifting the radio-frequency to initiate a positive pulse before arrival of the particle bunch, the leading edge of the particle bunch is slowed less than the peak intensity of the particle bunch, which results in tightening distribution of velocities of particles in the particle bunch and reducing the mean velocity of the particle bunch to a different magnitude than that of a matched phase radio-frequency field due to the relative slowing of the leading edge of the particle bunch. As described above, relative deceleration, which is reduced deceleration versus the main peak of the particle bunch, is achieved by phase shifting the applied radio-frequency field peak intensity to lag the peak intensity of particles in the particle bunch. Referring again to FIG. 26A and FIG. 26B, optionally more than one path gap 2610 is used in the synchrotron. Assuming an acceleration case for each of a first path gap and a second path gap: (1) a phase trailing radio-frequency at the first path gap accelerates leading particles of the particle bunch less than acceleration of the peak intensity of particles of the particle bunch and (2) a phase leading radio-frequency at the second path gap accelerates trailing particles of the particle bunch more than acceleration of the peak intensity of particles of the particle bunch. Hence, first particles at the leading edge of the particle bunch are tightened toward a mean intensity of the particle bunch and second particles at the trailing edge of the particle bunch are also tightened toward the mean intensity of the particle bunch, while the particle bunch as a whole is accelerated. The phase shifting process is similarly reversed when deceleration of the particle bunch is desired. In addition to acceleration or deceleration of the beam using applied voltage with or without phase shifting the applied voltage, geometry of the gap entrance side 2620 and/or the gap exit side 2630 using one or more path gaps 2610 is optionally used to radially focus/tighten/distribution tighten the particle bunch. Referring now to FIG. 27, an example illustrates radial tightening of the particle bunch. In this example, a first path gap 2612 incorporates a first curved geometry, such as a convex exit side geometry 2712, relative to particles exiting the first path gap 2612. The first curved surface yields increasingly convex potential field lines 2722, relative to particles crossing the first path gap 2612, across the first path gap 2612, which radially focuses the particle bunch. Similarly, a second path gap 2614 incorporates a second curved geometry or a concave entrance side geometry 2714, relative to particles entering the second path gap 2614. The second curved surface yields decreasingly convex potential field lines 2724 as a function of distance across the second path gap 2614, which radially defocuses the particle bunch, such as back to a straight path with a second beam radius, r2, less than a first beam radius, r1, prior to the first path gap 2612. Dynamic Energy Adjustment Referring again to FIG. 22A through FIG. 27, the energy of the treatment beam 269 is controllable using the step of beam alteration 2426. As the applied voltage of the driving radio frequency field 2640 is optionally varied by less than 500, 200, 100, 50, 25, 10, 5, 2, or 1 volt and the applied phase shift is optionally in the range of plus or minus any of: 90, 45, 25, 10, 5, 2, or 1 percent of a period of the radio frequency, small changes in the energy of the treatment beam 269 are achievable in real time. For example, the achieved energy of the treatment beam in the range of 30 to 330 MeV is adjustable at a level of less than 5, 2, 1, 0.5, 0.1, 0.05, or 0.01 MeV using the beam adjustment system 2420. Thus, the treatment beam 269 is optionally scanned along the z-axis and/or along a z-axis containing vector within the tumor 720 using the step of beam alteration 2422, described supra. Further, any imaging process of the tumor and/or the current position of the treatment beam 269, such as the positron emission tracking system, is optionally used as a dynamic feedback to the main controller 110 and/or the beam adjustment system 2420 to make one or more fine or sub-MeV adjustments of an applied energy of the treatment beam 269 with or without interrupting beam output, such as with use of the accelerator system 131, dumping the beam 2414, and/or loading the ring 124. Imaging with Multiple Beam Energies Optionally, the sample, patient, and/or tumor is imaged using two or more energies of the treatment beam 269. In analysis, resulting images or responses using a first beam energy and a second beam energy, of the two or more energies, are used in an analysis that removes at least one background signal or error from one or more voxels and/or pixels of the obtained images, such as by: taking a ratio of the two signals, calculating a difference between the two signals, by normalizing the images, and/or by comparing the images. By comparing images, tomograms, values, and/or signals obtained with at least two incident beam energies of the treatment beam 269, background interference is reduced and/or removed. In the case of imaging a tumor, the process of comparing signals with differing incident beam energies reduces and/or removes interference related to skin, collagen, elastic, protein, albumin, globulin, water, urea, glucose, hemoglobin, lactic acid, cholesterol, fat, blood, interstitial fluid, extracellular fluid, intracellular fluid, a sample constituent, temperature, and/or movement of the sample so that the intended element for imaging, such as the tumor, is enhanced in terms of at least one of resolution, accuracy, precision, identification, and spatial boundary. Still yet another embodiment includes any combination and/or permutation of any of the elements described herein. The main controller, a localized communication apparatus, and/or a system for communication of information optionally comprises one or more subsystems stored on a client. The client is a computing platform configured to act as a client device or other computing device, such as a computer, personal computer, a digital media device, and/or a personal digital assistant. The client comprises a processor that is optionally coupled to one or more internal or external input device, such as a mouse, a keyboard, a display device, a voice recognition system, a motion recognition system, or the like. The processor is also communicatively coupled to an output device, such as a display screen or data link to display or send data and/or processed information, respectively. In one embodiment, the communication apparatus is the processor. In another embodiment, the communication apparatus is a set of instructions stored in memory that is carried out by the processor. The client includes a computer-readable storage medium, such as memory. The memory includes, but is not limited to, an electronic, optical, magnetic, or another storage or transmission data storage medium capable of coupling to a processor, such as a processor in communication with a touch-sensitive input device linked to computer-readable instructions. Other examples of suitable media include, for example, a flash drive, a CD-ROM, read only memory (ROM), random access memory (RAM), an application-specific integrated circuit (ASIC), a DVD, magnetic disk, an optical disk, and/or a memory chip. The processor executes a set of computer-executable program code instructions stored in the memory. The instructions may comprise code from any computer-programming language, including, for example, C originally of Bell Laboratories, C++, C#, Visual Basic® (Microsoft, Redmond, Wash.), Matlab® (MathWorks, Natick, Mass.), Java® (Oracle Corporation, Redwood City, Calif.), and JavaScript® (Oracle Corporation, Redwood City, Calif.). Herein, any number, such as 1, 2, 3, 4, 5, is optionally more than the number, less than the number, or within 1, 2, 5, 10, 20, or 50 percent of the number. The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the present invention in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system. In the foregoing description, the invention has been described with reference to specific exemplary embodiments; however, it will be appreciated that various modifications and changes may be made without departing from the scope of the present invention as set forth herein. The description and figures are to be regarded in an illustrative manner, rather than a restrictive one and all such modifications are intended to be included within the scope of the present invention. Accordingly, the scope of the invention should be determined by the generic embodiments described herein and their legal equivalents rather than by merely the specific examples described above. For example, the steps recited in any method or process embodiment may be executed in any order and are not limited to the explicit order presented in the specific examples. Additionally, the components and/or elements recited in any apparatus embodiment may be assembled or otherwise operationally configured in a variety of permutations to produce substantially the same result as the present invention and are accordingly not limited to the specific configuration recited in the specific examples. Benefits, other advantages and solutions to problems have been described above with regard to particular embodiments; however, any benefit, advantage, solution to problems or any element that may cause any particular benefit, advantage or solution to occur or to become more pronounced are not to be construed as critical, required or essential features or components. As used herein, the terms “comprises”, “comprising”, or any variation thereof, are intended to reference a non-exclusive inclusion, such that a process, method, article, composition or apparatus that comprises a list of elements does not include only those elements recited, but may also include other elements not expressly listed or inherent to such process, method, article, composition or apparatus. Other combinations and/or modifications of the above-described structures, arrangements, applications, proportions, elements, materials or components used in the practice of the present invention, in addition to those not specifically recited, may be varied or otherwise particularly adapted to specific environments, manufacturing specifications, design parameters or other operating requirements without departing from the general principles of the same. Although the invention has been described herein with reference to certain preferred embodiments, one skilled in the art will readily appreciate that other applications may be substituted for those set forth herein without departing from the spirit and scope of the present invention. Accordingly, the invention should only be limited by the Claims included below. In another example, one or more imaging optic, such as a light directing optic and/or a focusing optic, used to image the scintillation material comprises the scintillation material 710. Enhanced Multi-Directional Scintillation Detection Photons emitted from the scintillation material, resultant from energy transfer from a passing residual charged particle beam 267, emit in many directions. Hence, detection and/or imaging of the photons in many planes or directions provides an opportunity for enhanced signal-to-noise, resolution, accuracy, and/or precision of determination of state of the residual charged particle beam 267 and from that enhanced resolution, accuracy, and precision of the imaged sample, such as the tumor 720 of the patient 730. Referring now to FIG. 17A, herein the scintillation material 710, in the form of a block or as segmented sections has a prior surface 714 or front surface, a posterior surface 715 or back surface, a dexter surface 716 or viewer's left surface, a sinister surface 717 or viewer's right surface, a top surface 718, and a bottom surface 719. Generally, the detector array 1410 and/or any of the accessories thereof, such as the micro-optics array 1412, color filter array 1414, axially separated sections, and/or longitudinally separated sections, is optionally used on any surface of the scintillation material 710. Further, referring now to FIG. 17B, the detector array 1410 is optionally a set of detector arrays 1700, such as n detector arrays where n is a positive integer. In FIG. 17B, the set of detector arrays 1700 includes: (1) a second detector array 1702 optically coupled to the posterior surface 715 of the scintillation material 710; (2) a fourth detector array 1704 optically coupled to the sinister surface 716 of the scintillation material 710; and (3) a fifth detector array 1705 optically coupled to the top surface 718 of the scintillation material 710. The use of multiple detector arrays, each configured to image the scintillation material 710, enhances accuracy and precision of knowledge of path of the residual charged particle beam 267 through enhanced accuracy, precision, and resolution of points of origin of the resultant emitted photons and as discussed above the resulting accuracy, precision, and resolution of the imaged object. As illustrated, use of three detector arrays set at orthogonal angles allows imaging of the scintillation material in three dimensions, which aids in determination of the path of the residual charged particle beam 267. Optionally, each of the set of detector arrays 1700 is set at any orientation in the x-, y-, z-axes space. Referring now to FIG. 17B, FIG. 17C, and FIG. 17D, the set of detector arrays 1700 is illustrated with six detector arrays: (1) a first detector array 1701 optically coupled to the prior surface 714 of the scintillation material 710; (2) a second detector array 1702 optically coupled to the posterior surface 715 of the scintillation material 710; (3) a third detector array 1703 optically coupled to the dexter surface 716 of the scintillation material 710; (4) a fourth detector array 1704 optically coupled to the sinister surface 717 of the scintillation material 710; (5) a fifth detector array 1705 optically coupled to the top surface 718 of the scintillation material 710; and (6) a sixth detector array 1706 optically coupled to the bottom surface 719 of the scintillation material 710. Use of a detector array on each surface of the scintillation material 710 allows detection of secondary photons, resultant from the residual charged particle beam 267, with a corresponding increase and/or maximum percentage of detection of the emitted photons. The larger number of detected photons, with the multiple detector arrays, yields a larger number of data points to more accurately and precisely determine state of the residual charged particle beam with a corresponding enhancement of the tomographic image, as described supra. Still referring to FIG. 17C, optionally, the prior surface 714 of the scintillation material 710 comprises an aperture 1710 through which the residual charged particle beam 267 passes. Optionally, no aperture is used on the prior surface 714 of the scintillation material 710 and the densities and pathlengths of the first detector array 1701 are used in a calculation of an energy of the residual charged particle beam 267. Imaging Generally, medical imaging is performed using an imaging apparatus to generate a visual and/or a symbolic representation of an interior constituent of the body for diagnosis, treatment, and/or as a record of state of the body. Typically, one or more imaging systems are used to image the tumor and/or the patient. For example, the X-ray imaging system and/or the positively charged particle imaging system, described supra, are optionally used individually, together, and/or with any additional imaging system, such as use of X-ray radiography, magnetic resonance imaging, medical ultrasonography, thermography, medical photography, positron emission tomography (PET) system, single-photon emission computed tomography (SPECT), and/or another nuclear/charged particle imaging technique. Referring now to FIG. 18, the imaging system 170 is further described. As described supra, the imaging system 170 optionally uses: a positive ion beam tumor irradiation system 171; two or more imaging systems 172, where the individual imaging systems generate data for a composite image of the sample; a concurrent treatment imaging system 173, where imaging occurs during treatment of the tumor 720 with the positively charged particle or in-between treatment of voxels of the tumor 720; an intermittent or periodic imaging system 174, where one or more update images, confirmation images, and/or adjustment images are collected to update a previous image, alter a treatment plan, and/or stop a current treatment of the tumor 720 with the treatment beam 269; a tomography beam imaging system 175 comprising generating tomograms from any radiology technology; a dynamic feedback system 176, such as use of a positron emission tomography signal to dynamically control state and/or movement of a positive ion tumor treatment beam; a relative rotational motion system 177 between the patient and an imaging beam; and/or a relative linear motion system 178 between the patient and a radiography imaging beam. To clarify the imaging system and without loss of generality several examples are provided. Still referring to FIG. 2C and FIG. 2D, a fifth example of using the triode extraction system 210 with varying types of ion sources is provided. The triode extraction system 210 is optionally used with an electron cyclotron resonance (ECR) ion source, a dual plasmatron ion source, an indirectly heated cathode ion source, a Freeman type ion source, or a Bernas type ion source. Generally, components of the tomography system, described supra, are combined in any combination and/or permutation. For instance, still referring to FIG. 15, a sixth example is provided using: (1) the first scintillation material 711 with the first pathlength, b1; (2) the second scintillation material 712 with the second pathlength, b2; (3) the third scintillation material 713 with the third pathlength, b3; (4) the color filter array 1414; (5) the micro-optics array 1412; and (6) the detector array 1410, all in two-dimensional configurations as part of an imaging system imaging the scintillation materials and secondary photons emitted therefrom, resultant from passage, transit, energy transfer from, interaction with, or termination of the residual charged particles in the residual charged particle beam 267. Calculation of position and direction of the residual charged particle beam 267, with or without use of an imaging sheet, allows a more accurate determination of an exit point of the treatment beam 269 or start of the residual energy beam 269 from the patient 730 and a corresponding path of the charged particle beam from the prior side of the patient 730, through the patient 730, and to the posterior exit point of the patient 730. Scintillation Array Referring now to FIG. 16A, the scintillation material 710 is optionally configured as an array of scintillation materials and/or as an array of scintillation sections 1610 in a multiplexed scintillation detector 1600, where elements of the array of scintillation sections 1610 are optionally physically separated. For clarity of presentation and without loss of generality examples follow that described and/or illustrate the array of scintillation sections 1610 as an element of the tomography system. Still referring to FIG. 2C and FIG. 2D, optionally and preferably geometries of the gating electrode 204 and/or the extraction electrode 206 are used to focus the extracted ions along the initial ion beam path 262. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is optionally and preferably coupled with a downbeam or downstream radio-frequency quadrupole, used to focus the beam, and/or a synchrotron, used to accelerate the beam. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is maintained through the synchrotron 130 and to the tumor of the patient resulting in a more accurate, precise, smaller, and/or tighter treatment voxel of the charged particle beam or charged particle pulse striking the tumor. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system reduces total beam spread through the synchrotron 130 and the tumor to one or more imaging elements, such as an optical imaging sheet or scintillation material emitting photons upon passage of the charged particle beam or striking of the charged particle beam, respectively. The lower emittance of the charged particle beam, optionally and preferably maintained through the accelerator system 134 and beam transport system yields a tighter, more accurate, more precise, and/or smaller particle beam or particle burst diameter at the imaging surfaces and/or imaging elements, which facilitates more accurate and precise tumor imaging, such as for subsequent tumor treatment or to adjust, while the patient waits in a treatment position, the charged particle treatment beam position. Any feature or features of any of the above provided examples are optionally and preferably combined with any feature described in other examples provided, supra, or herein. Ion Extraction from Accelerator Referring now to FIG. 3, both: (1) an exemplary proton beam extraction system 300 from the synchrotron 130 and (2) a charged particle beam intensity control system 305 are illustrated. For clarity, FIG. 3 removes elements represented in FIG. 1C, such as the turning magnets, which allows for greater clarity of presentation of the proton beam path as a function of time. Generally, protons are extracted from the synchrotron 130 by slowing the protons. As described, supra, the protons were initially accelerated in a circulating path, which is maintained with a plurality of main bending magnets 132. The circulating path is referred to herein as an original central beamline 264. The protons repeatedly cycle around a central point in the synchrotron 136. The proton path traverses through a radio frequency (RF) cavity system 310. To initiate extraction, an RF field is applied across a first blade 312 and a second blade 314, in the RF cavity system 310. The first blade 312 and second blade 314 are referred to herein as a first pair of blades. In the proton extraction process, an RF voltage is applied across the first pair of blades, where the first blade 312 of the first pair of blades is on one side of the circulating proton beam path 264 and the second blade 314 of the first pair of blades is on an opposite side of the circulating proton beam path 264. The applied RF field applies energy to the circulating charged-particle beam. The applied RF field alters the orbiting or circulating beam path slightly of the protons from the original central beamline 264 to an altered circulating beam path 265. Upon a second pass of the protons through the RF cavity system, the RF field further moves the protons off of the original proton beamline 264. For example, if the original beamline is considered as a circular path, then the altered beamline is slightly elliptical. The frequency of the applied RF field is timed to apply outward or inward movement to a given band of protons circulating in the synchrotron accelerator. Orbits of the protons are slightly more off axis compared to the original circulating beam path 264. Successive passes of the protons through the RF cavity system are forced further and further from the original central beamline 264 by altering the direction and/or intensity of the RF field with each successive pass of the proton beam through the RF field. Timing of application of the RF field and/or frequency of the RF field is related to the circulating charged particles circulation pathlength in the synchrotron 130 and the velocity of the charged particles so that the applied RF field has a period, with a peak-to-peak time period, equal to a period of time of beam circulation in the synchrotron 130 about the center 136 or an integer multiple of the time period of beam circulation about the center 136 of the synchrotron 130. Alternatively, the time period of beam circulation about the center 136 of the synchrotron 130 is an integer multiple of the RF period time. The RF period is optionally used to calculated the velocity of the charged particles, which relates directly to the energy of the circulating charged particles. The RF voltage is frequency modulated at a frequency about equal to the period of one proton cycling around the synchrotron for one revolution or at a frequency than is an integral multiplier of the period of one proton cycling about the synchrotron. The applied RF frequency modulated voltage excites a betatron oscillation. For example, the oscillation is a sine wave motion of the protons. The process of timing the RF field to a given proton beam within the RF cavity system is repeated thousands of times with each successive pass of the protons being moved approximately one micrometer further off of the original central beamline 264. For clarity, the approximately 1000 changing beam paths with each successive path of a given band of protons through the RF field are illustrated as the altered beam path 265. The RF time period is process is known, thus energy of the charged particles at time of hitting the extraction material or material 330, described infra, is known. With a sufficient sine wave betatron amplitude, the altered circulating beam path 265 touches and/or traverses a material 330, such as a foil or a sheet of foil. The foil is preferably a lightweight material, such as beryllium, a lithium hydride, a carbon sheet, or a material having low nuclear charge components. Herein, a material of low nuclear charge is a material composed of atoms consisting essentially of atoms having six or fewer protons. The foil is preferably about 10 to 150 microns thick, is more preferably about 30 to 100 microns thick, and is still more preferably about 40 to 60 microns thick. In one example, the foil is beryllium with a thickness of about 50 microns. When the protons traverse through the foil, energy of the protons is lost and the speed of the protons is reduced. Typically, a current is also generated, described infra. Protons moving at the slower speed travel in the synchrotron with a reduced radius of curvature 266 compared to either the original central beamline 264 or the altered circulating path 265. The reduced radius of curvature 266 path is also referred to herein as a path having a smaller diameter of trajectory or a path having protons with reduced energy. The reduced radius of curvature 266 is typically about two millimeters less than a radius of curvature of the last pass of the protons along the altered proton beam path 265. The thickness of the material 330 is optionally adjusted to create a change in the radius of curvature, such as about ½, 1, 2, 3, or 4 mm less than the last pass of the protons 265 or original radius of curvature 264. The reduction in velocity of the charged particles transmitting through the material 330 is calculable, such as by using the pathlength of the betatron oscillating charged particle beam through the material 330 and/or using the density of the material 330. Protons moving with the smaller radius of curvature travel between a second pair of blades. In one case, the second pair of blades is physically distinct and/or is separated from the first pair of blades. In a second case, one of the first pair of blades is also a member of the second pair of blades. For example, the second pair of blades is the second blade 314 and a third blade 316 in the RF cavity system 310. A high voltage DC signal, such as about 1 to 5 kV, is then applied across the second pair of blades, which directs the protons out of the synchrotron through an extraction magnet 137, such as a Lamberson extraction magnet, into a transport path 268. Control of acceleration of the charged particle beam path in the synchrotron with the accelerator and/or applied fields of the turning magnets in combination with the above described extraction system allows for control of the intensity of the extracted proton beam, where intensity is a proton flux per unit time or the number of protons extracted as a function of time. For example, when a current is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In another embodiment, instead of moving the charged particles to the material 330, the material 330 is mechanically moved to the circulating charged particles. Particularly, the material 330 is mechanically or electromechanically translated into the path of the circulating charged particles to induce the extraction process, described supra. In this case, the velocity or energy of the circulating charged particle beam is calculable using the pathlength of the beam path about the center 136 of the synchrotron 130 and from the force applied by the bending magnets 132. In either case, because the extraction system does not depend on any change in magnetic field properties, it allows the synchrotron to continue to operate in acceleration or deceleration mode during the extraction process. Stated differently, the extraction process does not interfere with synchrotron acceleration. In stark contrast, traditional extraction systems introduce a new magnetic field, such as via a hexapole, during the extraction process. More particularly, traditional synchrotrons have a magnet, such as a hexapole magnet, that is off during an acceleration stage. During the extraction phase, the hexapole magnetic field is introduced to the circulating path of the synchrotron. The introduction of the magnetic field necessitates two distinct modes, an acceleration mode and an extraction mode, which are mutually exclusive in time. The herein described system allows for acceleration and/or deceleration of the proton during the extraction step and tumor treatment without the use of a newly introduced magnetic field, such as by a hexapole magnet. Charged Particle Beam Intensity Control Control of applied field, such as a radio-frequency (RF) field, frequency and magnitude in the RF cavity system 310 allows for intensity control of the extracted proton beam, where intensity is extracted proton flux per unit time or the number of protons extracted as a function of time. Still referring FIG. 3, the intensity control system 305 is further described. In this example, an intensity control feedback loop is added to the extraction system, described supra. When protons in the proton beam hit the material 330 electrons are given off from the material 330 resulting in a current. The resulting current is converted to a voltage and is used as part of an ion beam intensity monitoring system or as part of an ion beam feedback loop for controlling beam intensity. The voltage is optionally measured and sent to the main controller 110 or to an intensity controller subsystem 340, which is preferably in communication or under the direction of the main controller 110. More particularly, when protons in the charged particle beam path pass through the material 330, some of the protons lose a small fraction of their energy, such as about one-tenth of a percent, which results in a secondary electron. That is, protons in the charged particle beam push some electrons when passing through material 330 giving the electrons enough energy to cause secondary emission. The resulting electron flow results in a current or signal that is proportional to the number of protons going through the target or extraction material 330. The resulting current is preferably converted to voltage and amplified. The resulting signal is referred to as a measured intensity signal. The amplified signal or measured intensity signal resulting from the protons passing through the material 330 is optionally used in monitoring the intensity of the extracted protons and is preferably used in controlling the intensity of the extracted protons. For example, the measured intensity signal is compared to a goal signal, which is predetermined in an irradiation of the tumor plan. The difference between the measured intensity signal and the planned for goal signal is calculated. The difference is used as a control to the RF generator. Hence, the measured flow of current resulting from the protons passing through the material 330 is used as a control in the RF generator to increase or decrease the number of protons undergoing betatron oscillation and striking the material 330. Hence, the voltage determined off of the material 330 is used as a measure of the orbital path and is used as a feedback control to control the RF cavity system. In one example, the intensity controller subsystem 340 preferably additionally receives input from: (1) a detector 350, which provides a reading of the actual intensity of the proton beam and/or (2) an irradiation plan 360. The irradiation plan provides the desired intensity of the proton beam for each x, y, energy, and/or rotational position of the patient/tumor as a function of time. Thus, the intensity controller 340 receives the desired intensity from the irradiation plan 350, the actual intensity from the detector 350 and/or a measure of intensity from the material 330, and adjusts the amplitude and/or the duration of application of the applied radio-frequency field in the RF cavity system 310 to yield an intensity of the proton beam that matches the desired intensity from the irradiation plan 360. As described, supra, the protons striking the material 330 is a step in the extraction of the protons from the synchrotron 130. Hence, the measured intensity signal is used to change the number of protons per unit time being extracted, which is referred to as intensity of the proton beam. The intensity of the proton beam is thus under algorithm control. Further, the intensity of the proton beam is controlled separately from the velocity of the protons in the synchrotron 130. Hence, intensity of the protons extracted and the energy of the protons extracted are independently variable. Still further, the intensity of the extracted protons is controllably variable while scanning the charged particles beam in the tumor from one voxel to an adjacent voxel as a separate hexapole and separated time period from acceleration and/or treatment is not required, as described supra. For example, protons initially move at an equilibrium trajectory in the synchrotron 130. An RF field is used to excite or move the protons into a betatron oscillation. In one case, the frequency of the protons orbit is about 10 MHz. In one example, in about one millisecond or after about 10,000 orbits, the first protons hit an outer edge of the target material 130. The specific frequency is dependent upon the period of the orbit. Upon hitting the material 130, the protons push electrons through the foil to produce a current. The current is converted to voltage and amplified to yield a measured intensity signal. The measured intensity signal is used as a feedback input to control the applied RF magnitude or RF field. An energy beam sensor, described infra, is optionally used as a feedback control to the RF field frequency or RF field of the RF field extraction system 310 to dynamically control, modify, and/or alter the delivered charge particle beam energy, such as in a continuous pencil beam scanning system operating to treat tumor voxels without alternating between an extraction phase and a treatment phase. Preferably, the measured intensity signal is compared to a target signal and a measure of the difference between the measured intensity signal and target signal is used to adjust the applied RF field in the RF cavity system 310 in the extraction system to control the intensity of the protons in the extraction step. Stated again, the signal resulting from the protons striking and/or passing through the material 130 is used as an input in RF field modulation. An increase in the magnitude of the RF modulation results in protons hitting the foil or material 130 sooner. By increasing the RF, more protons are pushed into the foil, which results in an increased intensity, or more protons per unit time, of protons extracted from the synchrotron 130. In another example, a detector 350 external to the synchrotron 130 is used to determine the flux of protons extracted from the synchrotron and a signal from the external detector is used to alter the RF field, RF intensity, RF amplitude, and/or RF modulation in the RF cavity system 310. Here the external detector generates an external signal, which is used in a manner similar to the measured intensity signal, described in the preceding paragraphs. Preferably, an algorithm or irradiation plan 360 is used as an input to the intensity controller 340, which controls the RF field modulation by directing the RF signal in the betatron oscillation generation in the RF cavity system 310. The irradiation plan 360 preferably includes the desired intensity of the charged particle beam as a function of time and/or energy of the charged particle beam as a function of time, for each patient rotation position, and/or for each x-, y-position of the charged particle beam. In yet another example, when a current from material 330 resulting from protons passing through or hitting material is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In still yet another embodiment, intensity modulation of the extracted proton beam is controlled by the main controller 110. The main controller 110 optionally and/or additionally controls timing of extraction of the charged particle beam and energy of the extracted proton beam. The benefits of the system include a multi-dimensional scanning system. Particularly, the system allows independence in: (1) energy of the protons extracted and (2) intensity of the protons extracted. That is, energy of the protons extracted is controlled by an energy control system and an intensity control system controls the intensity of the extracted protons. The energy control system and intensity control system are optionally independently controlled. Preferably, the main controller 110 controls the energy control system and the main controller 110 simultaneously controls the intensity control system to yield an extracted proton beam with controlled energy and controlled intensity where the controlled energy and controlled intensity are independently variable and/or continually available as a separate extraction phase and acceleration phase are not required, as described supra. Thus the irradiation spot hitting the tumor is under independent control of: time; energy; intensity; x-axis position, where the x-axis represents horizontal movement of the proton beam relative to the patient, and y-axis position, where the y-axis represents vertical movement of the proton beam relative to the patient. In addition, the patient is optionally independently translated and/or rotated relative to a translational axis of the proton beam at the same time. Beam Transport The beam transport system 135 is used to move the charged particles from the accelerator to the patient, such as via a nozzle in a gantry, described infra. Charged Particle Energy The beam transport system 135 optionally includes means for determining an energy of the charged particles in the charged particle beam. For example, an energy of the charged particle beam is determined via calculation, such as via equation 1, using knowledge of a magnet geometry and applied magnetic field to determine mass and/or energy. Referring now to equation 1, for a known magnet geometry, charge, q, and magnetic field, B, the Larmor radius, ρL, or magnet bend radius is defined as: ρ L = v ⊥ Ω c = 2 ⁢ Em qB ( eq . ⁢ 1 ) where: ν⊥ is the ion velocity perpendicular to the magnetic field, Ωc is the cyclotron frequency, q is the charge of the ion, B is the magnetic field, m is the mass of the charge particle, and E is the charged particle energy. Solving for the charged particle energy yields equation 2. E = ( ρ L ⁢ qB ) 2 2 ⁢ m ( eq . ⁢ 2 ) Thus, an energy of the charged particle in the charged particle beam in the beam transport system 135 is calculable from the know magnet geometry, known or measured magnetic field, charged particle mass, charged particle charge, and the known magnet bend radius, which is proportional to and/or equivalent to the Larmor radius. Nozzle After extraction from the synchrotron 130 and transport of the charged particle beam along the proton beam path 268 in the beam transport system 135, the charged particle beam exits through the nozzle system 146. In one example, the nozzle system includes a nozzle foil covering an end of the nozzle system 146 or a cross-sectional area within the nozzle system forming a vacuum seal. The nozzle system includes a nozzle that expands in x/y-cross-sectional area along the z-axis of the proton beam path 268 to allow the proton beam 268 to be scanned along the x-axis and y-axis by the vertical control element and horizontal control element, respectively. The nozzle foil is preferably mechanically supported by the outer edges of an exit port of the nozzle 146. An example of a nozzle foil is a sheet of about 0.1 inch thick aluminum foil. Generally, the nozzle foil separates atmosphere pressures on the patient side of the nozzle foil from the low pressure region, such as about 10−5 to 10−7 torr region, on the synchrotron 130 side of the nozzle foil. The low pressure region is maintained to reduce scattering of the circulating charged particle beam in the synchrotron. Herein, the exit foil of the nozzle is optionally the first sheet 760 of the charged particle beam state determination system 750, described infra. Charged Particle Control Referring now to FIG. 4A, FIG. 4B, FIG. 5, FIG. 6A, and FIG. 6B, a charged particle beam control system is described where one or more patient specific beam control assemblies are removably inserted into the charged particle beam path proximate the nozzle of the charged particle cancer therapy system 100, where the patient specific beam control assemblies adjust the beam energy, diameter, cross-sectional shape, focal point, and/or beam state of the charged particle beam to properly couple energy of the charged particle beam to the individual's specific tumor. Beam Control Tray Referring now to FIG. 4A and FIG. 4B, a beam control tray assembly 400 is illustrated in a top view and side view, respectively. The beam control tray assembly 400 optionally comprises any of a tray frame 410, a tray aperture 412, a tray handle 420, a tray connector/communicator 430, and means for holding a patient specific tray insert 510, described infra. Generally, the beam control tray assembly 400 is used to: (1) hold the patient specific tray insert 510 in a rigid location relative to the beam control tray 400, (2) electronically identify the held patient specific tray insert 510 to the main controller 110, and (3) removably insert the patient specific tray insert 510 into an accurate and precise fixed location relative to the charged particle beam, such as the proton beam path 268 at the nozzle of the charged particle cancer therapy system 100. For clarity of presentation and without loss of generality, the means for holding the patient specific tray insert 510 in the tray frame 410 of the beam control tray assembly 400 is illustrated as a set of recessed set screws 415. However, the means for holding the patient specific tray insert 510 relative to the rest of the beam control tray assembly 400 is optionally any mechanical and/or electromechanical positioning element, such as a latch, clamp, fastener, clip, slide, strap, or the like. Generally, the means for holding the patient specific tray insert 510 in the beam control tray 400 fixes the tray insert and tray frame relative to one another even when rotated along and/or around multiple axes, such as when attached to a charged particle cancer therapy system 100 dynamic gantry nozzle 610 or gantry nozzle, which is an optional element of the nozzle system 146, that moves in three-dimensional space relative to a fixed point in the beamline, proton beam path 268, and/or a given patient position. As illustrated in FIG. 4A and FIG. 4B, the recessed set screws 415 fix the patient specific tray insert 510 into the aperture 412 of the tray frame 410. The tray frame 410 is illustrated as circumferentially surrounding the patient specific tray insert 510, which aids in structural stability of the beam control tray assembly 400. However, generally the tray frame 410 is of any geometry that forms a stable beam control tray assembly 400. Still referring to FIG. 4A and now referring to FIG. 5 and FIG. 6A, the optional tray handle 420 is used to manually insert/retract the beam control tray assembly 400 into a receiving element of the gantry nozzle or dynamic gantry nozzle 610. While the beam control tray assembly 400 is optionally inserted into the charged particle beam path 268 at any point after extraction from the synchrotron 130, the beam control tray assembly 400 is preferably inserted into the positively charged particle beam proximate the dynamic gantry nozzle 610 as control of the beam shape is preferably done with little space for the beam shape to defocus before striking the tumor. Optionally, insertion and/or retraction of the beam control tray assembly 400 is semi-automated, such as in a manner of a digital-video disk player receiving a digital-video disk, with a selected auto load and/or a selected auto unload feature. Patient Specific Tray Insert Referring again to FIG. 5, a system of assembling trays 500 is described. The beam control tray assembly 400 optionally and preferably has interchangeable patient specific tray inserts 510, such as a range shifter insert 511, a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. As described, supra, any of the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 after insertion into the tray frame 410 are inserted as the beam control tray assembly 400 into the positively charged particle beam path 268, such as proximate the dynamic gantry nozzle 610. Still referring to FIG. 5, the patient specific tray inserts 510 are further described. The patient specific tray inserts comprise a combination of any of: (1) a standardized beam control insert and (2) a patient specific beam control insert. For example, the range shifter insert or 511 or compensator insert 514 used to control the depth of penetration of the charged particle beam into the patient is optionally: (a) a standard thickness of a beam slowing material, such as a first thickness of Lucite, an acrylic, a clear plastic, and/or a thermoplastic material, (b) one member of a set of members of varying thicknesses and/or densities where each member of the set of members slows the charged particles in the beam path by a known amount, or (c) is a material with a density and thickness designed to slow the charged particles by a customized amount for the individual patient being treated, based on the depth of the individual's tumor in the tissue, the thickness of intervening tissue, and/or the density of intervening bone/tissue. Similarly, the ridge filter insert 512 used to change the focal point or shape of the beam as a function of depth is optionally: (1) selected from a set of ridge filters where different members of the set of ridge filters yield different focal depths or (2) customized for treatment of the individual's tumor based on position of the tumor in the tissue of the individual. Similarly, the aperture insert is: (1) optionally selected from a set of aperture shapes or (2) is a customized individual aperture insert 513 designed for the specific shape of the individual's tumor. The blank insert 515 is an open slot, but serves the purpose of identifying slot occupancy, as described infra. Slot Occupancy/Identification Referring again to FIG. 4A, FIG. 4B, and FIG. 5, occupancy and identification of the particular patient specific tray insert 510 into the beam control tray assembly 400 is described. Generally, the beam control tray assembly 400 optionally contains means for identifying, to the main controller 110 and/or a treatment delivery control system described infra, the specific patient tray insert 510 and its location in the charged particle beam path 268. First, the particular tray insert is optionally labeled and/or communicated to the beam control tray assembly 400 or directly to the main controller 110. Second, the beam control tray assembly 400 optionally communicates the tray type and/or tray insert to the main controller 110. In various embodiments, communication of the particular tray insert to the main controller 110 is performed: (1) directly from the tray insert, (2) from the tray insert 510 to the tray assembly 400 and subsequently to the main controller 110, and/or (3) directly from the tray assembly 400. Generally, communication is performed wirelessly and/or via an established electromechanical link. Identification is optionally performed using a radio-frequency identification label, use of a barcode, or the like, and/or via operator input. Examples are provided to further clarify identification of the patient specific tray insert 510 in a given beam control tray assembly 400 to the main controller. In a first example, one or more of the patient specific tray inserts 510, such as the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 include an identifier 520 and/or and a first electromechanical identifier plug 530. The identifier 520 is optionally a label, a radio-frequency identification tag, a barcode, a 2-dimensional bar-code, a matrix-code, or the like. The first electromechanical identifier plug 530 optionally includes memory programmed with the particular patient specific tray insert information and a connector used to communicate the information to the beam control tray assembly 400 and/or to the main controller 110. As illustrated in FIG. 5, the first electromechanical identifier plug 530 affixed to the patient specific tray insert 510 plugs into a second electromechanical identifier plug, such as the tray connector/communicator 430, of the beam control tray assembly 400, which is described infra. In a second example, the beam control tray assembly 400 uses the second electromechanical identifier plug to send occupancy, position, and/or identification information related to the type of tray insert or the patient specific tray insert 510 associated with the beam control tray assembly to the main controller 110. For example, a first tray assembly is configured with a first tray insert and a second tray assembly is configured with a second tray insert. The first tray assembly sends information to the main controller 110 that the first tray assembly holds the first tray insert, such as a range shifter, and the second tray assembly sends information to the main controller 110 that the second tray assembly holds the second tray insert, such as an aperture. The second electromechanical identifier plug optionally contains programmable memory for the operator to input the specific tray insert type, a selection switch for the operator to select the tray insert type, and/or an electromechanical connection to the main controller. The second electromechanical identifier plug associated with the beam control tray assembly 400 is optionally used without use of the first electromechanical identifier plug 530 associated with the tray insert 510. In a third example, one type of tray connector/communicator 430 is used for each type of patient specific tray insert 510. For example, a first connector/communicator type is used for holding a range shifter insert 511, while a second, third, fourth, and fifth connector/communicator type is used for trays respectively holding a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. In one case, the tray communicates tray type with the main controller. In a second case, the tray communicates patient specific tray insert information with the main controller, such as an aperture identifier custom built for the individual patient being treated. Tray Insertion/Coupling Referring now to FIG. 6A and FIG. 6B a beam control insertion process 600 is described. The beam control insertion process 600 comprises: (1) insertion of the beam control tray assembly 400 and the associated patient specific tray insert 510 into the charged particle beam path 268 and/or dynamic gantry nozzle 610, such as into a tray assembly receiver 620 and (2) an optional partial or total retraction of beam of the tray assembly receiver 620 into the dynamic gantry nozzle 610. Referring now to FIG. 6A, insertion of one or more of the beam control tray assemblies 400 and the associated patient specific tray inserts 510 into the dynamic gantry nozzle 610 is further described. In FIG. 6A, three beam control tray assemblies, of a possible n tray assemblies, are illustrated, a first tray assembly 402, a second tray assembly 404, and a third tray assembly 406, where n is a positive integer of 1, 2, 3, 4, 5 or more. As illustrated, the first tray assembly 402 slides into a first receiving slot 403, the second tray assembly 404 slides into a second receiving slot 405, and the third tray assembly 406 slides into a third receiving slot 407. Generally, any tray optionally inserts into any slot or tray types are limited to particular slots through use of a mechanical, physical, positional, and/or steric constraints, such as a first tray type configured for a first insert type having a first size and a second tray type configured for a second insert type having a second distinct size at least ten percent different from the first size. Still referring to FIG. 6A, identification of individual tray inserts inserted into individual receiving slots is further described. As illustrated, sliding the first tray assembly 402 into the first receiving slot 403 connects the associated electromechanical connector/communicator 430 of the first tray assembly 402 to a first receptor 626. The electromechanical connector/communicator 430 of the first tray assembly communicates tray insert information of the first beam control tray assembly to the main controller 110 via the first receptor 626. Similarly, sliding the second tray assembly 404 into the second receiving slot 405 connects the associated electromechanical connector/communicator 430 of the second tray assembly 404 into a second receptor 627, which links communication of the associated electromechanical connector/communicator 430 with the main controller 110 via the second receptor 627, while a third receptor 628 connects to the electromechanical connected placed into the third slot 407. The non-wireless/direct connection is preferred due to the high radiation levels within the treatment room and the high shielding of the treatment room, which both hinder wireless communication. The connection of the communicator and the receptor is optionally of any configuration and/or orientation. Tray Receiver Assembly Retraction Referring again to FIG. 6A and FIG. 6B, retraction of the tray receiver assembly 620 relative to a nozzle end 612 of the dynamic gantry nozzle 610 is described. The tray receiver assembly 620 comprises a framework to hold one or more of the beam control tray assemblies 400 in one or more slots, such as through use of a first tray receiver assembly side 622 through which the beam control tray assemblies 400 are inserted and/or through use of a second tray receiver assembly side 624 used as a backstop, as illustrated holding the plugin receptors configured to receive associated tray connector/communicators 430, such as the first, second, and third receptors 626, 627, 628. Optionally, the tray receiver assembly 620 retracts partially or completely into the dynamic gantry nozzle 610 using a retraction mechanism 660 configured to alternately retract and extend the tray receiver assembly 620 relative to a nozzle end 612 of the gantry nozzle 610, such as along a first retraction track 662 and a second retraction track 664 using one or more motors and computer control. Optionally the tray receiver assembly 620 is partially or fully retracted when moving the gantry, nozzle, and/or gantry nozzle 610 to avoid physical constraints of movement, such as potential collision with another object in the patient treatment room. For clarity of presentation and without loss of generality, several examples of loading patient specific tray inserts into tray assemblies with subsequent insertion into an positively charged particle beam path proximate a gantry nozzle 610 are provided. In a first example, a single beam control tray assembly 400 is used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific range shifter insert 511, which is custom fabricated for a patient, is loaded into a patient specific tray insert 510 to form a first tray assembly 402, where the first tray assembly 402 is loaded into the third receptor 628, which is fully retracted into the gantry nozzle 610. In a second example, two beam control assemblies 400 are used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific ridge filter 512 is loaded into a first tray assembly 402, which is loaded into the second receptor 627 and a patient specific aperture 513 is loaded into a second tray assembly 404, which is loaded into the first receptor 626 and the two associated tray connector/communicators 430 using the first receptor 626 and second receptor 627 communicate to the main controller 110 the patient specific tray inserts 510. The tray receiver assembly 620 is subsequently retracted one slot so that the patient specific ridge filter 512 and the patient specific aperture reside outside of and at the nozzle end 612 of the gantry nozzle 610. In a third example, three beam control tray assemblies 400 are used, such as a range shifter 511 in a first tray inserted into the first receiving slot 403, a compensator in a second tray inserted into the second receiving slot 405, and an aperture in a third tray inserted into the third receiving slot 407. Generally, any patient specific tray insert 510 is inserted into a tray frame 410 to form a beam control tray assembly 400 inserted into any slot of the tray receiver assembly 620 and the tray assembly is not retracted or retracted any distance into the gantry nozzle 610. Tomography/Beam State In one embodiment, the charged particle tomography apparatus is used to image a tumor in a patient. As current beam position determination/verification is used in both tomography and cancer therapy treatment, for clarity of presentation and without limitation beam state determination is also addressed in this section. However, beam state determination is optionally used separately and without tomography. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system using common elements. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system that are part of the cancer therapy system, described supra. In various examples, the tomography imaging system is optionally simultaneously operational with a charged particle cancer therapy system using common elements, allows tomographic imaging with rotation of the patient, is operational on a patient in an upright, semi-upright, and/or horizontal position, is simultaneously operational with X-ray imaging, and/or allows use of adaptive charged particle cancer therapy. Further, the common tomography and cancer therapy apparatus elements are optionally operational in a multi-axis and/or multi-field raster beam mode. In conventional medical X-ray tomography, a sectional image through a body is made by moving one or both of an X-ray source and the X-ray film in opposite directions during the exposure. By modifying the direction and extent of the movement, operators can select different focal planes, which contain the structures of interest. More modern variations of tomography involve gathering projection data from multiple directions by moving the X-ray source and feeding the data into a tomographic reconstruction software algorithm processed by a computer. Herein, in stark contrast to known methods, the radiation source is a charged particle, such as a proton ion beam or a carbon ion beam. A proton beam is used herein to describe the tomography system, but the description applies to a heavier ion beam, such as a carbon ion beam. Further, in stark contrast to known techniques, herein the radiation source is preferably stationary while the patient is rotated. Referring now to FIG. 7, an example of a tomography apparatus is described and an example of a beam state determination is described. In this example, the tomography system 700 uses elements in common with the charged particle beam system 100, including elements of one or more of the injection system 120, accelerator 130, targeting/delivery system 140, patient interface module 150, display system 160, and/or imaging system 170, such as the X-ray imaging system. The scintillation material is optionally one or more scintillation plates, such as a scintillating plastic, used to measure energy, intensity, and/or position of the charged particle beam. For instance, a scintillation material 710 or scintillation plate is positioned behind the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure intensity and/or position of the charged particle beam after transmitting through the patient. Optionally, a second scintillation plate or a charged particle induced photon emitting sheet, described infra, is positioned prior to the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure incident intensity and/or position of the charged particle beam prior to transmitting through the patient. The charged particle beam system 100 as described has proven operation at up to and including 330 MeV, which is sufficient to send protons through the body and into contact with the scintillation material. Particularly, 250 MeV to 330 MeV are used to pass the beam through a standard sized patient with a standard sized pathlength, such as through the chest. The intensity or count of protons hitting the plate as a function of position is used to create an image. The velocity or energy of the proton hitting the scintillation plate is also used in creation of an image of the tumor 720 and/or an image of the patient 730. The patient 730 is rotated about the y-axis and a new image is collected. Preferably, a new image is collected with about every one degree of rotation of the patient resulting in about 360 images that are combined into a tomogram using tomographic reconstruction software. The tomographic reconstruction software uses overlapping rotationally varied images in the reconstruction. Optionally, a new image is collected at about every 2, 3, 4, 5, 10, 15, 30, or 45 degrees of rotation of the patient. Herein, the scintillation material 710 or scintillator is any material that emits a photon when struck by a positively charged particle or when a positively charged particle transfers energy to the scintillation material sufficient to cause emission of light. Optionally, the scintillation material emits the photon after a delay, such as in fluorescence or phosphorescence. However, preferably, the scintillator has a fast fifty percent quench time, such as less than 0.0001, 0.001, 0.01, 0.1, 1, 10, 100, or 1,000 milliseconds, so that the light emission goes dark, falls off, or terminates quickly. Preferred scintillation materials include sodium iodide, potassium iodide, cesium iodide, an iodide salt, and/or a doped iodide salt. Additional examples of the scintillation materials include, but are not limited to: an organic crystal, a plastic, a glass, an organic liquid, a luminophor, and/or an inorganic material or inorganic crystal, such as barium fluoride, BaF2; calcium fluoride, CaF2, doped calcium fluoride, sodium iodide, NaI; doped sodium iodide, sodium iodide doped with thallium, NaI(Tl); cadmium tungstate, CdWO4; bismuth germanate; cadmium tungstate, CdWO4; calcium tungstate, CaWO4; cesium iodide, CsI; doped cesium iodide; cesium iodide doped with thallium, CsI(Tl); cesium iodide doped with sodium CsI(Na); potassium iodide, KI; doped potassium iodide, gadolinium oxysulfide, Gd2O2S; lanthanum bromide doped with cerium, LaBr3(Ce); lanthanum chloride, LaCl3; cesium doped lanthanum chloride, LaCl3(Ce); lead tungstate, PbWO4; LSO or lutetium oxyorthosilicate (Lu2SiO5); LYSO, Lu1.8Y0.2SiO5(Ce); yttrium aluminum garnet, YAG(Ce); zinc sulfide, ZnS(Ag); and zinc tungstate, ZnWO4. In one embodiment, a tomogram or an individual tomogram section image is collected at about the same time as cancer therapy occurs using the charged particle beam system 100. For example, a tomogram is collected and cancer therapy is subsequently performed: without the patient moving from the positioning systems, such as in a semi-vertical partial immobilization system, a sitting partial immobilization system, or the a laying position. In a second example, an individual tomogram slice is collected using a first cycle of the accelerator 130 and using a following cycle of the accelerator 130, the tumor 720 is irradiated, such as within about 1, 2, 5, 10, 15 or 30 seconds. In a third case, about 2, 3, 4, or 5 tomogram slices are collected using 1, 2, 3, 4, or more rotation positions of the patient 730 within about 5, 10, 15, 30, or 60 seconds of subsequent tumor irradiation therapy. In another embodiment, the independent control of the tomographic imaging process and X-ray collection process allows simultaneous single and/or multi-field collection of X-ray images and tomographic images easing interpretation of multiple images. Indeed, the X-ray and tomographic images are optionally overlaid to from a hybrid X-ray/proton beam tomographic image as the patient 730 is optionally in the same position for each image. In still another embodiment, the tomogram is collected with the patient 730 in the about the same position as when the patient's tumor is treated using subsequent irradiation therapy. For some tumors, the patient being positioned in the same upright or semi-upright position allows the tumor 720 to be separated from surrounding organs or tissue of the patient 730 better than in a laying position. Positioning of the scintillation material 710 behind the patient 730 allows the tomographic imaging to occur while the patient is in the same upright or semi-upright position. The use of common elements in the tomographic imaging and in the charged particle cancer therapy allows benefits of the cancer therapy, described supra, to optionally be used with the tomographic imaging, such as proton beam x-axis control, proton beam y-axis control, control of proton beam energy, control of proton beam intensity, timing control of beam delivery to the patient, rotation control of the patient, and control of patient translation all in a raster beam mode of proton energy delivery. The use of a single proton or cation beam line for both imaging and treatment facilitates eases patient setup, reduces alignment uncertainties, reduces beam state uncertainties, and eases quality assurance. In yet still another embodiment, initially a three-dimensional tomographic proton based reference image is collected, such as with hundreds of individual rotation images of the tumor 720 and patient 730. Subsequently, just prior to proton treatment of the cancer, just a few 2-dimensional control tomographic images of the patient are collected, such as with a stationary patient or at just a few rotation positions, such as an image straight on to the patient, with the patient rotated about 45 degrees each way, and/or the patient rotated about 90 degrees each way about the y-axis. The individual control images are compared with the 3-dimensional reference image. An adaptive proton therapy is subsequently performed where: (1) the proton cancer therapy is not used for a given position based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images and/or (2) the proton cancer therapy is modified in real time based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images. Charged Particle State Determination/Verification/Photonic Monitoring Still referring to FIG. 7, the tomography system 700 is optionally used with a charged particle beam state determination system 750, optionally used as a charged particle verification system. The charged particle state determination system 750 optionally measures, determines, and/or verifies one of more of: (1) position of the charged particle beam, such as the treatment beam 269, (2) direction of the treatment beam 269, (3) intensity of the treatment beam 269, (4) energy of the treatment beam 269, (5) position, direction, intensity, and/or energy of the charged particle beam, such as a residual charged particle beam 267 after passing through a sample or the patient 730, and (6) a history of the charged particle beam. For clarity of presentation and without loss of generality, a description of the charged particle beam state determination system 750 is described and illustrated separately in FIG. 8 and FIG. 9A; however, as described herein elements of the charged particle beam state determination system 750 are optionally and preferably integrated into the nozzle system 146 and/or the tomography system 700 of the charged particle treatment system 100. More particularly, any element of the charged particle beam state determination system 750 is integrated into the nozzle system 146, the dynamic gantry nozzle 610, and/or tomography system 700, such as a surface of the scintillation material 710 or a surface of a scintillation detector, plate, or system. The nozzle system 146 or the dynamic gantry nozzle 610 provides an outlet of the charged particle beam from the vacuum tube initiating at the injection system 120 and passing through the synchrotron 130 and beam transport system 135. Any plate, sheet, fluorophore, or detector of the charged particle beam state determination system is optionally integrated into the nozzle system 146. For example, an exit foil of the nozzle 610 is optionally a first sheet 760 of the charged particle beam state determination system 750 and a first coating 762 is optionally coated onto the exit foil, as illustrated in FIG. 7. Similarly, optionally a surface of the scintillation material 710 is a support surface for a fourth coating 792, as illustrated in FIG. 7. The charged particle beam state determination system 750 is further described, infra. Referring now to FIG. 7, FIG. 8, and FIG. 9A, four sheets, a first sheet 760, a second sheet 770, a third sheet 780, and a fourth sheet 790 are used to illustrated detection sheets and/or photon emitting sheets upon transmittance of a charged particle beam. Each sheet is optionally coated with a photon emitter, such as a fluorophore, such as the first sheet 760 is optionally coated with a first coating 762. Without loss of generality and for clarity of presentation, the four sheets are each illustrated as units, where the light emitting layer is not illustrated. Thus, for example, the second sheet 770 optionally refers to a support sheet, a light emitting sheet, and/or a support sheet coated by a light emitting element. The four sheets are representative of n sheets, where n is a positive integer. Referring now to FIG. 7 and FIG. 8, the charged particle beam state verification system 750 is a system that allows for monitoring of the actual charged particle beam position in real-time without destruction of the charged particle beam. The charged particle beam state verification system 750 preferably includes a first position element or first beam verification layer, which is also referred to herein as a coating, luminescent, fluorescent, phosphorescent, radiance, or viewing layer. The first position element optionally and preferably includes a coating or thin layer substantially in contact with a sheet, such as an inside surface of the nozzle foil, where the inside surface is on the synchrotron side of the nozzle foil. Less preferably, the verification layer or coating layer is substantially in contact with an outer surface of the nozzle foil, where the outer surface is on the patient treatment side of the nozzle foil. Preferably, the nozzle foil provides a substrate surface for coating by the coating layer. Optionally, a binding layer is located between the coating layer and the nozzle foil, substrate, or support sheet. Optionally, the position element is placed anywhere in the charged particle beam path. Optionally, more than one position element on more than one sheet, respectively, is used in the charged particle beam path and is used to determine a state property of the charged particle beam, as described infra. Still referring to FIG. 7 and FIG. 8, the coating, referred to as a fluorophore, yields a measurable spectroscopic response, spatially viewable by a detector or camera, as a result of transmission by the proton beam. The coating is preferably a phosphor, but is optionally any material that is viewable or imaged by a detector where the material changes spectroscopically as a result of the charged particle beam hitting or transmitting through the coating or coating layer. A detector or camera views secondary photons emitted from the coating layer and determines a position of a treatment beam 269, which is also referred to as a current position of the charged particle beam or final treatment vector of the charged particle beam, by the spectroscopic differences resulting from protons and/or charged particle beam passing through the coating layer. For example, the camera views a surface of the coating surface as the proton beam or positively charged cation beam is being scanned by the first axis control 143, vertical control, and the second axis control 144, horizontal control, beam position control elements during treatment of the tumor 720. The camera views the current position of the charged particle beam or treatment beam 269 as measured by spectroscopic response. The coating layer is preferably a phosphor or luminescent material that glows and/or emits photons for a short period of time, such as less than 5 seconds for a 50% intensity, as a result of excitation by the charged particle beam. The detector observes the temperature change and/or observe photons emitted from the charged particle beam traversed spot. Optionally, a plurality of cameras or detectors are used, where each detector views all or a portion of the coating layer. For example, two detectors are used where a first detector views a first half of the coating layer and the second detector views a second half of the coating layer. Preferably, at least a portion of the detector is mounted into the nozzle system to view the proton beam position after passing through the first axis and second axis controllers 143, 144. Preferably, the coating layer is positioned in the proton beam path 268 in a position prior to the protons striking the patient 730. Referring now to FIG. 1 and FIG. 7, the main controller 110, connected to the camera or detector output, optionally and preferably compares the final proton beam position or position of the treatment beam 269 with the planned proton beam position and/or a calibration reference to determine if the actual proton beam position or position of the treatment beam 269 is within tolerance. The charged particle beam state determination system 750 preferably is used in one or more phases, such as a calibration phase, a mapping phase, a beam position verification phase, a treatment phase, and a treatment plan modification phase. The calibration phase is used to correlate, as a function of x-, y-position of the glowing response the actual x-, y-position of the proton beam at the patient interface. During the treatment phase, the charged particle beam position is monitored and compared to the calibration and/or treatment plan to verify accurate proton delivery to the tumor 720 and/or as a charged particle beam shutoff safety indicator. Referring now to FIG. 10, the position verification system 179 and/or the treatment delivery control system 112, upon determination of a tumor shift, an unpredicted tumor distortion upon treatment, and/or a treatment anomaly optionally generates and or provides a recommended treatment change 1070. The treatment change 1070 is optionally sent out while the patient 730 is still in the treatment position, such as to a proximate physician or over the internet to a remote physician, for physician approval 1072, receipt of which allows continuation of the now modified and approved treatment plan.
claims
1. An apparatus comprising:a pressurized water reactor (PWR) including a pressure vessel containing a nuclear reactor core and primary coolant water, the pressure vessel defining an internal pressurizer volume containing a steam bubble and having at least one steam pressure control device;a containment structure surrounding the PWR;an external heat sink disposed outside of the containment structure;a condenser disposed inside the containment structure and operatively connected with the external heat sink; anda valve assembly comprising one or more valves, the valve assembly operatively connecting the PWR with the condenser responsive to an abnormal operation signal such that the condenser condenses steam from the steam bubble while rejecting heat to the external heat sink and returns the condensed water to the PWR. 2. The apparatus of claim 1, wherein the external heat sink comprises a body of water disposed outside the containment structure. 3. The apparatus of claim 1, wherein the valve assembly includes:a valved steam path connecting the internal pressurizer volume with an inlet of the condenser to convey steam from the steam bubble to the condenser; anda valved return path connecting an outlet of the condenser with the PWR to convey the condensed water to the PWR;wherein the valved steam path is configured to be open during normal operation of the PWR and the valved return path is configured to be closed during normal operation of the PWR. 4. The apparatus of claim 3, wherein the valved return path is configured to open responsive to an abnormal operation signal comprising a low reactor water level signal and is configured to open responsive to an abnormal operation signal comprising a high reactor pressure signal. 5. The apparatus of claim 1, wherein:the condenser comprises a plurality of condensers; andthe valve assembly is configured to operatively connect the PWR with the plurality of condensers responsive to an abnormal operation signal comprising a low reactor water level signal and is configured to operatively connect the PWR with the same plurality of condensers responsive to an abnormal operation signal comprising a high reactor pressure signal. 6. The apparatus of claim 1, further comprising:a quench tank containing water with dissolved neutron poison disposed inside the containment structure;the valve assembly further including:a valved tank pressurizing path selectively connecting the internal pressurizer volume to the quench tank to pressurize the quench tank; anda valved soluble poison delivery path selectively connecting the quench tank to the PWR such that the quench tank under pressure from the internal pressurizer volume via the valved tank pressurizing path discharges water with dissolved neutron poison into the PWR. 7. The apparatus of claim 6, wherein the dissolved neutron poison comprises a soluble boron compound. 8. The apparatus of claim 6, wherein the dissolved neutron poison comprises sodium pentaborate. 9. The apparatus of claim 6, wherein the valved tank pressurizing path is not connected with any pressurizing source other than the internal pressurizer volume to pressurize the quench tank. 10. The apparatus of claim 6, wherein the primary coolant water in the pressure vessel of the PWR does not contain dissolved neutron poison other than dissolved neutron poison received from the quench tank. 11. The apparatus of claim 1, further comprising:a water storage tank disposed inside the containment structure;the valve assembly further connecting an outlet of the condenser with a sparger discharging into the water storage tank responsive to (1) the abnormal operation signal and (2) pressure in the pressure vessel decreasing below a threshold. 12. A method comprising:operating a pressurized water reactor (PWR) disposed in a containment structure, the PWR including a pressure vessel containing a nuclear reactor core and primary coolant water and an internal pressure-regulating steam bubble; andresponsive to an abnormal operation signal, performing an emergency core cooling process including operatively connecting a condenser disposed in the containment structure with the PWR to condense steam from the steam bubble while rejecting heat to an external heat sink disposed outside of the containment structure and to return the condensed water to the PWR. 13. The method of claim 12, wherein an inlet of the condenser is connected with the steam bubble during the operating, and the operative connecting responsive to an abnormal operation signal comprises connecting an outlet of the condenser with the PWR to return the condensed water to the PWR. 14. The method of claim 13, wherein the emergency core cooling process further comprises:after the operative connecting and responsive to pressure in the pressure vessel decreasing below a pressure threshold, connecting the outlet of the condenser with a sparger discharging into a water storage tank disposed inside the containment structure. 15. The method of claim 12, wherein the emergency core cooling process is performed responsive to any of (1) a low reactor water level signal, (2) a high reactor pressure signal, and (3) both said low reactor water level signal and said high reactor pressure signal. 16. An apparatus comprising:a pressurized water reactor (PWR) including a pressure vessel containing a nuclear reactor core and primary coolant water, the pressure vessel defining an internal pressurizer volume containing a steam bubble and having at least one steam pressure control device;a containment structure surrounding the PWR;an external heat sink disposed outside of the containment structure;at least one condenser disposed inside the containment structure and operatively connected with the external heat sink; anda valve assembly comprising one or more valves, the valve assembly configured to (1) respond to a loss of heat sink event by operatively connecting the at least one condenser with the PWR to condense steam from the steam bubble and return the condensed water to the PWR and to (2) response to a loss of coolant accident (LOCA) by operatively connecting the at least one condenser with the PWR to condense steam from the steam bubble and return the condensed water to the PWR. 17. The apparatus of claim 16, wherein the valve assembly includes:a valved steam path connecting the internal pressurizer volume with an inlet of the at least one condenser to convey steam from the steam bubble to the condenser; anda valved return path connecting an outlet of the at least one condenser with the PWR to convey the condensed water to the PWR;wherein the valved steam path is configured to be open during normal operation of the PWR and the valved return path is configured to be closed during normal operation of the PWR; andwherein the valved return path is configured to open responsive to detection of either a loss of heat sink event or a LOCA. 18. The apparatus of claim 17, wherein the valved return path is configured to open to a sparger discharging into a water storage tank disposed inside the containment responsive to detection of a LOCA and pressure in the pressure vessel decreasing below a threshold pressure. 19. The apparatus of claim 16, wherein the external heat sink comprises at least one body of water disposed outside the containment structure and the operative connection of the at least one condenser with the external heat sink comprises an open tube connection in which water from the external heat sink flows by natural circulation through the at least one condenser.
052451950
description
DETAILED DESCRIPTION In the present invention a filled polymer film is formed comprising two essential ingredients, a thermoplastic elastomer and a barium salt, preferably barium sulfate. Other ingredients are often desirable inclusions, such as plasticizers, coupling agents, UV stabilizers, antioxidants, antimicrobials and biocides, lubricants, fillers, dyes, pigments, antistatic agents, flame retardants, and the like. The film is formed by conventional elastomer compounding and film forming techniques and forms no part of the present invention. Those of ordinary skill in the art are familiar with a variety of techniques and may freely select on the basis of convenience, economy, and availability of equipment. In the present application, compounding and film forming are conducted on a heated roll blender. The relative proportions by weight of the thermoplastic elastomer and the barium sulfate should be in most circumstances in the range of about 1 to 3 up to about 1 to 9. Thus, barium sulfate will be from about 60 to about 90 weight percent of the film. As a general rule, the proportion of the barium sulfate should be the highest level possible without unduly compromising the physical properties of the film. The film will generally be formed with a thickness of from about 0.5 to about 3.0 millimeters, preferably about 0.75 to about 1.25 mm. If greater attenuation is required, the film may be employed in multiple plies or a thicker film may be employed. As a general approximation, at 85 weight percent barium sulfate, a 1 mm thick film will be equivalent to 0.2 mm Pb foil. As a rule, attenuation is a direct function of the film thickness as illustrated in FIG. 1, for a given proportion of barium sulfate by weight.A represents the lead foil, and B represents the film of the present invention. The thickness of the material is shown along the x axis and its percent attenuation at 60 KV is shown along the y axis. At the same time, the specific gravity of the film at such proportions will ordinarily be less than 3 g/cm.sup.3 generally about 2.8 to 2.9, while that of lead is 11.34 g/cm.sup.3, and that of lead oxide (litharge) is 9.3 g/cm.sup.3. When dispersed in a polymer film, powdered lead and litharge require about 1.5 times the weight of the film of the present invention to achieve the same lead foil equivalence. Even at the high weight loadings of the present invention, the X-ray attenuation film have excellent physical properties for use in shielding garments. Tensile strengths are generally greater than 35,000 g/cm.sup.2. Elongation at break is greater than 50%, and normally greater than 60%. Hardness of about 75 to 90 on the Shore A scale is typical. Flexural modulus and resistance to flex fatigue are excellent. It is helpful that barium sulfate is non-toxic. This is of particular importance when the protective shielding is cleaned and/or sterilized, as well as during normal use, particularly long term use where exposure to low levels of lead is a particular hazard. Barium sulfate is greatly preferred, but barium carbonate, oxide, acetate, and the like may also be employed. It is preferred that only non-toxic salts be used. When other salts are employed, it is preferred that they be combined with a major proportion of barium sulfate. Coupling Agents The durability of the X-ray attenuating sheets and films of the present invention at the high proportions of the barium sulfate to the elastomer is dependent on attaining good bonding of the elastomer to the surface of the solids dispersed therein. Bonding is, in turn, influenced by several factors. The barium sulfate should be finely divided to maximize the surface area. As a general rule, the smaller the particle size, the better the bond. Particle sizes of less than 50 microns are preferred. The barium sulfate should also be as dry as possible. If the material is free of hydration, the surface will be more active and better bonding of the elastomer will result. Still better bonds are formed by employing coupling agents. Those of ordinary skill in the art will be generally familiar with coupling agents, such as those commonly employed with, for example, silica and siliceous reinforcing filters. As a rule, any of the coupling agents employed with silica will also be effective in the present invention. Typical of such coupling agents are silanes, titanates, chromates, aluminates, and the like. Silan S-103A.RTM. (Union Carbide) and TTOP-12.RTM. (Kenrich Petrochemical, Inc.) are two commercially available examples. The first is an alkyl silane, while the second is an organic titanate. As is usual in the use of such materials, the proportions are widely variable, generally in relation to the available surface area of the filler, as those of ordinary skill in the art will readily understand. Thermoplastic elastomers are synthetic polymers which exhibit elastomeric properties of elasticity, elongation, recovery, and related properties below a transition temperature, and which also exhibit plastic flow characteristics above the transition temperature. A wide variety of such polymers and blends of polymers are known, including olefin co-polymers, such as ethylene-propylene co-polymers and terpolymers with other co-monomers, styrene-butadiene block and graft co-polymers such as Krayton.RTM., available from Shell Oil Co., polyester based and polyether based thermoplastic polyurethanes, co-polymers of acrylonitrile with olefin co-monomers, and the like. The thermoplastic elastomers may be employed as such, in blends with one another, and in blends with minor amounts of other non-elastomeric thermoplastics such as polyvinyl chloride, polyvinylidene chloride, polyolefins, such as polyethylene or polypropylene, polystyrene, polyamides, such as Nylon 6, Nylon 6T, Nylon 12, and the like, acrylic polymers and co-polymers, and polyesters, such as polyethylene terephthalate. In addition, blends may be formed with cross-linkable (curable) elastomers, such as styrene-butadiene rubber (SBR), styrene-isoprene rubber (SIR), polybutadiene, polyisoprene, butyl rubber, and the like. When curable elastomers are employed, they may be left uncured or a curing system may be employed which is not reactive with thermoplastic elastomer. When blends are formed of such polymers, at least 50% of the polymer should be thermoplastic elastomer. The thermoplastic elastomer, whether a single polymer or a blend, should whenever possible have a transition temperature from elastomer to plastic which is higher than usual autoclaving temperatures of 140.degree. C. to 160.degree. C. It is preferred that the transition temperature be at least 175.degree. C. This permits the film, X-ray protective garments, and the like to be sterilized by autoclave. If that is not possible, cold sterilizing will be required. Other ingredients will ordinarily be included with the barium sulfate and the polymer in making the film. As a general rule, such materials will be chosen based on their suitability for the selected polymer in accordance with the usual practice in the art, in light of the desired properties as defined herein. Such compounding ingredients are familiar to those of ordinary skill in the art and do not form a part of the present invention. By illustration, the following components will often be employed in compounding of the polymer system of the present invention: Although barium sulfate alone is extremely effective in providing X-ray resistant characteristics when blended into thermoplastic and/or rubber articles, it is also possible to use other X-ray attenuating metals and metallic salts or blends of metallic salts such as, but not limited to: bismuth salt, tungsten salt, tin powder, and aluminum powder. Examples of acceptable blends are: barium sulfate and bismuth salt; barium sulfate, bismuth salt, and tungsten; barium sulfate and tin powder; and barium sulfate and aluminum powder. In such blends, barium sulfate should be at least 50% of the X-ray attenuating component. Plasticizer When necessary, plasticizers can be added to the composition in an amount sufficient to reduce brittleness, increase wear, and improve flexibility. Plasticizers are well known in the art and can be selected from, but not limited to: phthalic acid ester, glycol ester, fatty acid ester, and phosphoric acid ester. Examples of commercially available plasticizers are epoxidised soybean oil (ESO), dioctyl phthalate (DOP), diisooctyl phthalate (DIP) and BENZOFLEX.TM. (diethylene glycol dibenzoate), which can be obtained from Velsicol Co. The preferred amount to be used in the composition is up to 100 parts by weight per 100 parts of thermoplastic elastomer. The plasticizers can be used alone or in combination depending on the polymer or polymers to be used and the desired characteristics of the article to be manufactured. Antimicrobial Agents Antimicrobial agents and biocides can be incorporated into thermoplastic articles during the fabrication of the thermoplastic articles resulting in microbial resistant products. See, for examples, McEntee, U.S. Pat. No. 4,891,391. This is a particularly important consideration when manufacturing articles that will be used in a medical setting. Antimicrobial agents suitable for incorporation into thermoplastic materials include, but are not limited to: phenoxarsines, phenarsazines, maleimides, isoindole dicarboximides, halogenated aryl alkanols, isothiazolinone compounds, organotin compounds, and the like. Examples of commercially available fungicides that can be used include VINIZYNE.TM. and IT 3000 DIDP.TM., both of which can be obtained from Morton Thiokol. The preferred range is from about 0.25 to 5 weight percent of the film, but should be used in an amount appropriate and sufficient to inhibit the growth of bacteria and/or fungus in light of the use for which the article is intended. UV Stabilizers Thermoplastics, after an extended period of exposure, tend to acquire a yellowish tinge that reduces the attractiveness of the finished product and may reduce its utility. Among the ways to improve the weatherability and sunlight resistance of thermoplastics include coating the surface with an organic resin containing a UV stabilizer. The stabilizer may also be incorporated directly into the thermoplastic. See, for example, U.S. Pat. Nos. 4,344,830 to Olson; 4,749,726 to Gupta, et al.; and 4,963,594 to Gay, hereinafter incorporated by reference. If appropriate, UV stabilizers can be incorporated into the composition of the present invention in an amount sufficient to prevent polymer degradation and yellowing. Examples of acceptable UV stabilizers are, but not limited to: benzophenones, benzotriazoles, benzenesulfonates, and phenyl salicylates. An example of a commercially available UV stabilizer is TINUVIN.TM.P, a benzotriazole available from Ciba-Geigy. The preferred amount to be used is typically in the range of 0.1 to 5 percent by weight of the film. Antioxidants Antioxidants are frequently added to thermoplastics compositions to prevent polymer degradation. Antioxidants are particularly useful when adding high concentrations of barium salt to polymers. There is a wide spectrum of needs for antioxidants in different polymers and plastic compounds. For example, some resin compositions cannot be fabricated at all without antioxidants, while polyvinyl chloride compositions and polyamides (such as nylon) compositions do not benefit substantially from inclusion of an antioxidant except during high temperature fabrication. The use and selection of antioxidants is well known in the art. The Index of Commercial Antioxidants and Antiozonants, 3rd Edition (1982), distributed by the Goodyear Chemical Division of Goodyear Tire and Rubber Co., Akron, Ohio, and McEntee, U.S. Pat. No. 4,891,391 recite an extensive list of available antioxidants and are herein incorporated by reference. Examples of suitable antioxidants are BHT (butylated hydroxytoluene), a monophenol supplied by Koppers, and Irganox.TM.1010, a polyphenol supplied by Ciba-Geigy. The preferred range is 0.1 to 5 percent by weight of the thermoplastic elastomer. Lubricant Lubricants can also be added to the composition. Examples of lubricants which can be used are, but not limited to: higher fatty acid lubricants, silicone lubricants, metallic soaps, aliphatic hydrocarbon lubricants, and fatty acid amide lubricants. Examples of commercially available lubricants are Glycolub VL, a glyceride of a fatty acid, most often stearic acid. The preferred amount is in the range of 0.1 to 5 percent weight, most preferably 0.2 to 1 percent by weight. Pigment Various coloring agents can be added to the film such as inorganic and organic pigments. Examples of suitable inorganic pigments include carbon black, tin oxide, zinc oxide, iron oxide, and cadmium sulfate. Examples of suitable organic pigments include organic dyes, and in particular, blue, red, and green pigment. Carbon black is known to have light-shielding characteristics and has been used in packaging cases for photosensitive films. See for example, Akao, et al., U.S. Pat. No. 4,828,106. Carbon blacks include for example, gas black, oil furnace black, anthracene black, acetylene black, lamp black, vegetable black, and animal black. Carbon black has the further characteristic of increasing the physical strength of resins. Various blending methods of carbon black are known within the art, with the use of masterbatch methods being preferable in terms of cost and efficiency. The preferred parts by weight range of carbon black in the film is 1 to 5, most preferably 2. Inorganic and organic pigments such as tin oxide (TiO.sub.2), zinc oxide (ZnO), iron oxide (Fe.sub.2 O.sub.3), cadmium sulfate (CdS), and organic dyes may be added to the film when the physical appearance may be considered of commercial importance. For example, when the film is to be used for patient or personnel protective wear such as in aprons, gowns, thyroid and gonad shields, airport X-ray protective bags, and film carriers. For example, the pigments may be selected from, but not limited to: blue, red and/or green pigment. Although some pigments also have light-shielding characteristics and this feature can help improve the effectiveness of the film, they are primarily chosen for aesthetic reasons. Other additives that may be added if appropriate include: antistatic agents, flame retardants, filler, and reinforcing agents. Once the composition for the film has been selected, the components are combined and formed into a film of appropriate thickness and, in turn, into X-ray protective products. The products include, but are not limited to: aprons, gowns, thyroid shields, gonad shields, patient protective wear, personnel protective wear, airport X-ray protective bags, and film carriers. As noted above, the compounding and film forming are accomplished by conventional procedures. In the present invention, the work has been done on a three-roll heated mill. Compounding and film formation are usually conducted at temperatures above the plastic transition temperature of the polymer. In general it is preferred to thoroughly mix the polymer and the barium sulfate, and optionally any plasticizer, to a substantially homogeneous dispersion of the solid into the plastic mass prior to the introduction of other ingredients. If a coupling agent is employed, it should be milled with the barium sulfate prior to forming the polymer-barium sulfate blend. The barium sulfate should be as finely divided as possible. It is self-evident that the properties desired require that the polymer in the film be an elastomer. It is not self-evident that it should be thermoplastic. While applicant has no wish to be bound, it is believed that there are two aspects of thermoplastic elastomers which account for the effectiveness of the present invention. First, milling the barium sulfate into the polymer in a plastic state permits the formation of high levels of wetting and bonding to the surface of the solid. When the compounded blend is then formed into sheets and cooled, these bonds remain intact. In curable elastomers, the curing agents, cross-linking agents, vulcanizing agents, accelerators, initiators, chain extenders and chain terminators, and the like tend to compete for the bonding sites and limit, disrupt, and even eliminate the bond between the polymer and the solid surface. As a result, there is a far better bond between the solid and the polymer in the system in the present invention. Second, when cured or cross-linked film deform, the bonds between polymer and solid may be disrupted and, upon relaxation, do not reform. In the thermoplastic elastomers, the nature of elastic deformation and recovery are less likely to cause disruption of the bonds and facilitate their reformation when disruption does occur. Once bonding between the polymer and solid are lost, the polymer is far more susceptible to the initiation and propagation of tears and cracks. In extreme cases, the film may become friable. More commonly, its durability in use is compromised. As a result of these considerations or others, the effective bonding of the polymer to the solid barium sulfate is far grater. It is the thermoplastic elastomer which permits the employment of the high loading of the barium sulfate, which in turn achieves the high levels of X-ray attenuation in relatively thin and pliable films with a long useful life. In one variation on the present invention, particularly of interest because of cost confederations, is the use of nitrile rubber; i.e., a random co-polymer of acrylonitrile and butadiene in a thermoplastic elastomer blend with polyvinyl chloride and/or other thermoplastic polymers. Such blends may be based on a minor amount, from about 10 to about 50 weight percent of the nitrile rubber in the polymer blend, with about 50 to about 90 weight percent PVC. Such thermoplastic elastomer blends will require substantial levels of plasticizers, typically about 50 to about 100 parts by weight of plasticizer, such as dioctyl phthalate (DOP), per hundred parts of elastomer blend. To illustrate the present invention, the following examples will serve to guide those of ordinary skill in the art. EXAMPLE 1 A blend was formed of the components designated in Table I; proportions are specified as parts by weight per 100 parts of thermoplastic elastomer. TABLE I ______________________________________ TPU 90 Nitrite rubber 10 ESO 1 DOP 10 DLTDP 0.1 Glycolub VL 0.2 BHT 1 TINUVINP l Vinizyne 1 BaSO.sub.4 670 Carbon black 2 TiO.sub.2 2 ______________________________________ TPU is a thermoplastic polyurethane elastomer, Pellethane.RTM. available from Dow Chemicals, Inc., polymerized from a polyether diol and a diisocyanate. Nitrite rubber is a random co-polymer of about 60 weight percent acrylonitrile and about 40 weight percent isobutylene. ESO is an epoxidized soybean oil plasticizer. DOP is dioctyl phthalate, a common plasticizer. DLTDP is di-lauryl thio-di-propionate, also a plasticizer. Gylcolub VL is a fatty acid glyceride, employed as a lubricant. BHT is the common designation for butylated hydroxytoluene, or specifically 4-methyl-2, 6-tert-butyl phenol, a frequently employed antioxidant. TINUVINP is a benzotriazole, an ultraviolet and visible light stabilizer, available from Ciba-Geigy. Vinizyne is a proprietary fungicide available from Morton Thiokol. The blend was rolled into a film of 1 mm thickness and evaluated for X-ray attenuation in comparison with 4 different thicknesses of lead foil. These data are shown in TABLE III, below. The film had a specific gravity of 2.9 grams per cubic centimeter, a tensile strength of 42,500 grams per square centimeter, an elongation at break of 60%, and a hardness of 75 on the Shore A scale. EXAMPLE 2 Another blend was formed and tested having the following formulation: TABLE II ______________________________________ Nitrile 30 PVC 70 ESO 5 DOP 30 CZ 45 M 3 Stearic acid 1 BHT 1 TINUVINP 1 IT 3000 DIDP 4 TTOP-12 3 BASO.sub.4 860 Carbon black 2 ZnO 2 ______________________________________ The formation was rolled into a film having a thickness of 0.75 mm and evaluated for X-ray attenuation. The data are shown in Table III, below. The film was found to have a specific gravity of 2.93 g/cm.sup.3, a tensile strength of 40,000 g/cm.sup.2, elongation at break of 70%, and a hardness of 69 Shore A. X-ray attenuation of 4 lead foils; one, two, and three plies of the film of Example 1; and one, two, three, and four plies of the film of Example 2 were determined at four different X-ray power levels. The results are shown in Table III: TABLE III __________________________________________________________________________ Percent Attenuation and Lead Equivalence in mm 60 KVP 80 KVP 100 KVP 125 KVP % Pb % Pb % Pb % Pb __________________________________________________________________________ Lead Foil: 0.152 93.0 86.0 80.0 78.0 0.305 98.0 95.0 91.0 89.0 0.457 99.0 98.0 95.0 94.0 0.610 99.9 99.0 97.0 96.0 Example 1: 1 ply 90.2 &gt; .15 88.2 .2 85.3 .25 80.7 .2 2 plies 99.7 &gt; .45 97.2 .4 95.5 .45 91.9 .39 3 plies 99.9 &gt; .61 99.0 .6 97.0 .69 96.0 .55 Example 2: 1 ply 80.7 &lt; .15 77.7 &lt; .15 72.9 &lt; .15 67.2 &lt; .15 2 plies 94.4 &gt; .15 93.3 .25 90.2 .28 86.0 .27 3 plies 98.3 &gt; .3 97.5 .42 95.5 .45 97.4 .40 4 plies 99.9 &gt; .6 99.1 .61 97.8 .62 95.4 .52 __________________________________________________________________________
062663895
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an exposure apparatus for manufacturing devices such as semiconductor devices, liquid crystal display devices, or thin-film magnetic head by means of the lithography process. Also, the present invention relates to a method for manufacturing an exposure apparatus, and a method for manufacturing a device using an exposure apparatus. 2. Description of the Related Art A conventional exposure apparatus is generally used for manufacturing semiconductor devices, liquid crystal display devices, or thin-film magnetic heads. This is a projection exposure apparatus which projects a predetermined pattern formed on a mask as a projection master onto a photosensitive substrate through a projection optical system. There are three types of conventional projection optical systems. A refraction type projection optical system comprises a refraction optical element having the optical property of transmitting an exposure light such as a lens. A reflection type projection optical system comprises a reflection optical element having an optical property of reflecting an exposure light such as a mirror. A conventional reflection and refraction type projection optical system comprises both reflection and refraction optical elements. In order to manufacture highly integrated semiconductor devices the wave length of the exposure light has been shifted from the g-line toward the i-line, until the KrF excimer laser light had a wave length of 248 nm. Recently, an exposure light having a wave length of 193 nm can be produced by an ArF excimer laser. In the future, the more highly integrated semiconductor devices will be developed, the higher the resolution of a projection optical system in an exposure apparatus is required. A resolution of a projection optical system is represented by the formula below: EQU (resolution)=k*.lambda./NA, where k denotes the k factor which is a positive constant, k&lt;1, which varies with the properties of the resist, .lambda. denotes the wave length of an exposure light, NA denotes a numerical aperture of a projection optical system. As it is obvious from the above mentioned formula, reducing the wavelength .lambda. is a very efficient technique for increasing the resolution of a projection optical system. As a result, an exposure apparatus using a soft X-ray having a wave length of 5-15 nm (Extreme Ultra Violet radiation, or EUV radiation) as an exposure light has been developed recently. This exposure apparatus using EUV radiation is very prominent at the moment as a potential example of the technology of an exposure apparatus of the future. The U.S. Pat. No. 5,917,879 discloses a projection optical system for an exposure apparatus using an EUV radiation. In a projection optical system of an exposure apparatus using EUV radiation, a refraction optical device such as a lens cannot be used because no hyaline material can transmit EUV radiation. Moreover, the number of reflection optical elements must be minimized because reflection optical elements such as mirrors are extremely inefficient in their reflectivity, reflecting only about 65% of light. For example, a projection optical system disclosed in the U.S. Pat. No. 5,917,879 has four mirrors. In the case of actual manufacture of a projection system, there are manufacturing errors of each optical member constituting a projection optical system (a refraction optical element, a reflection optical element), and structural errors. Therefore the required image resolution properties according to design rules of the future generations cannot be achieved without adjustments. The manufacturing errors of each optical member must be reduced to a negligible value to satisfy the required image properties. When the size of an optical member is small, it is difficult to adjust the position of each optical member of a projection system after assembly in accordance with the measured image property. This is because the degree of freedom for adjustment is small. SUMMARY OF THE INVENTION It is therefore an object of the present invention to provide a method for manufacturing an exposure apparatus, an exposure apparatus itself, and a method for manufacturing a device using the exposure apparatus which overcomes the above issues in the related art. This object is achieved by combinations described in the independent claims. The dependent claims define further advantageous and exemplary combinations of the present invention. According to the first aspect of the present invention, a method for manufacturing an exposure apparatus which transcribes an image of a projection master on a substrate by a projection system having a plurality of reflection mirrors is provided. This manufacturing method comprises a first step for measuring an image property of a projection system having a plurality of reflection mirrors, a second step for selecting an image property component to be adjusted from an image property, a third step for selecting at least one of reflection mirrors which can adjust an image property component selected in a second step, a fourth step for calculating a surface shape which adjusts substantially an image property component based on an image property measured in a first step, a fifth step for giving a surface shape calculated in a fourth step to a reflection mirror, a sixth step for embedding a reflection mirror having a surface shape given in a fifth step into a projection system. An image property component may include an image magnification error, a skew of an image, a curvature of an image plane, a gradient of an image plane, a displacement of a focal point caused by a direction in an aperture, a displacement of a focal point caused by a numerical aperture, and a telecentric error. A method for manufacturing an exposure apparatus may further comprise a seventh step for selecting positions of reflection mirrors in a projection system, and positions of reflection mirrors may be selected so that an image property is adjusted substantially. An image property may be measured using a light having a wave length used in a projection system in a first step. An image property may be measured by using a light having a wave length other than used in a projection system in the first step. A surface shape may be given to a reflection mirror having essentially the same shape as selected reflection mirror in a fifth step, and a reflection mirror in a projection system may be interchanged with a reflection mirror given a surface shape in a sixth step. A reflection mirror placed near a projection master or a substrate may be selected in the third step. A reflection mirror placed near an aperture stop of a projection system is selected in said third step. According to the second aspect of the present invention, an exposure apparatus is provided. This exposure apparatus comprises an X-ray source, an illumination system for guiding an X-ray from an X-ray source to a mask, a projection system for projecting a pattern on a mask by guiding an X-ray to an exposed plane through a mask, where a projection system is manufactured by a method according to one of the above mentioned methods. According to the third aspect of the present invention, an exposure apparatus is provided. This exposure apparatus comprises an X-ray source, an illumination system for guiding an X-ray from an X-ray source to a mask, a projection system for guiding an X-ray to an exposed plane through a mask and projecting a pattern on a mask, where a projection system comprises a plurality of reflection mirrors, and at least one reflection mirror among the reflection mirrors is interchangeable with a reflection mirror having a surface shape different from that of the one reflection mirror. A certain aberration component among a plurality of aberration components in a projection system may be changed by interchanging a reflection mirror without substantially influencing other aberration components. The reflection mirror which is interchangeable may be a reflection mirror placed near a projection master or a substrate. The reflection mirror which is interchangeable may not have a substantial power. The reflection mirror which is interchangeable may be a reflection mirror placed near a aperture stop of a projection system. An aperture stop may be placed on at least one reflection mirror among reflection mirrors constituting a projection system. According to the fourth aspect of the present invention, a method for manufacturing a device using the above exposure apparatus is provided. This manufacturing method comprises printing a photosensitive material on a substrate, projecting an image of a pattern on a mask onto a substrate through the projection system as described above, developing a photosensitive material on a substrate, forming a predetermined circuit pattern onto a substrate by using a developed photosensitive material as a mask. This summary of the invention does not necessarily describe all necessary features so that the invention may also be a sub-combination of these described features.
060552964
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates a schematic arrangement of a mammography apparatus 10. An x-ray source 12 emits a cone-shaped x-ray beam 14 towards the mammography apparatus 10. An upper compression plate 16 and a lower compression plate 18 compress a woman's breast 20 (shown in hatching). In this position, the breast 20 is exposed to the incident x-ray beam 14. The x-ray beam 14 is shaped by an operator (not shown) as required to fully illuminate the breast 20, but ideally does not extend beyond an outer diameter of the breast 20. Resulting scattered x-rays from the breast 20 are indicated by arrows 22. The upper compression plate 16 and the lower compression plate 18 are formed from polyester sheets having a thickness of 0.1778 mm. The upper compression plate 16 and the lower compression plate 18 generate little secondary radiation and exhibit negligible scattered radiation. A reciprocating radiographic grid 24 is disposed between the lower compression plate 18 and a film/screen cassette 26 for preventing transmission of scattered x-ray radiation to the film/screen cassette 26. The radiographic grid 24 and the film/screen cassette 26 are positioned closely to the lower compression plate 18 to minimize magnification effects. The radiographic grid 24 has a reciprocating travel indicated by double headed arrow "A" and as fully described in U.S. Pat. No. 4,901,335. Radiographic grids are taught more fully by U.S. Pat. No. 4,901,335, which is incorporated herein by reference. Generally speaking, and as shown in FIG. 2, the radiographic grid 24 includes a grid housing 28, a plurality of x-ray radiation absorbing lamellae 30 disposed in the grid housing 28, a top polymeric sheet 32 sealing an upper side of the grid housing 28 and a bottom polymeric sheet 34 sealing a lower side of the grid housing 28. The grid housing 28 includes a first side wall 28A, a second side wall 28B, a front wall 28C and a back wall 28D. The side walls 28A and 28B each include a plurality of longitudinal slots 36 therein, facing an interior of the grid housing 28 and corresponding to the number of the plurality of lamellae 30. The side walls 28A and 28B are preferably arc-shaped or bent along a circumference of a desired cylindrical section for the radiographic grid 24. The longitudinal slots 36 are positioned on the side walls 28A and 28B such that the plurality of lamellae 30, when inserted therebetween, are focused to a convergent line at the x-ray radiation source (12 in FIG. 1) spaced above the radiographic grid 24. Each of the plurality of lamellae 30 are typically lead strips having a thickness between 0.075 mm and 0.25 mm. However, other metals can be used. As described in greater detail below, each of the plurality of lamellae 30 has a thin foil strip (not shown) applied to its outer walls as shown in (FIG. 3) or applied to its lower end portions (as shown in FIGS. 7 and 8). The plurality of lamellae 30 are placed in the longitudinal slots 36 along the length of the side walls 28A and 28B. Between each of the plurality of lamellae 30 is an air gap or slot 38. The ratio of the height of each of the slots 38 (i.e. the height of each of the plurality of lamellae 30) to its width (i.e. the distance between each of the plurality of lamellae 30) is preferable a minimum of 5:1 and is potentially as large as 30:1. Each of the plurality of lamellae 30 have a preferred height of 3 to 20 mm. The top polymeric sheet 32 and the bottom polymeric sheet 34 have a thickness preferably between 0.0225 and 0.127 mm. The polymeric sheets 32 and 34 are preferably made of a mylar material. However, any other type of flexible, dimensionally stable plastic is equally acceptable. Finally, both of the top polymeric sheet 32 and the bottom polymeric sheet 34 have an adhesive along a peripheral border thereof for application of the polymeric sheet 32 or 34 to the grid housing 28. As an aide for alignment, and as shown in FIG. 2, the plurality of lamellae 30 preferably include top tabs 50 and bottom tabs 52. The top polymeric sheet 32 includes slits 54 which correspond to the top tabs 50. Similarly, the bottom polymeric sheet 34 includes slits 56 which correspond to the bottom tabs 52. During assembly, once the plurality of lamellae 30 have been positioned within the longitudinal slots 36 of the grid housing 28, the top polymeric sheet 32 and the bottom polymeric sheet 34 are adhered to the grid housing 28. More particularly, the top polymeric sheet 32 is placed on to the grid housing 28 such that the upper tabs 50 of one of the plurality of lamellae 30 pass through one of the slits 54 in the top polymeric sheet 32. Likewise, the bottom polymeric sheet 34 is placed on the grid housing 28 such that the bottom tabs 52 of one of the plurality of lamellae 30 pass through one of the slits 56 in the bottom polymeric sheet 34. It should be emphasized that the tabs 50, 52 and the slits 54, 56 are utilized only in the preferred embodiment to assist in assembly and alignment of the radiographic grid 24. They are not required elements. In other words, the radiographic grid 24 will function without the tabs 50, 52 or the slits 54, 56. The radiographic grid 24 shown in FIG. 2 is generally known in the prior art. While the radiographic grid 24 is quite functional, it still results in the undesirable lamellae line artifact previously described. The present invention overcomes this problem by providing an improved lamella 58 shown in FIG. 3. The lamella 58 includes a first side wall 60 and a second side wall 62. Additionally, the lamella 58 has a thin foil strip 64a applied to the first side wall 60 and a thin foil strip 64b applied to the second side wall 62. The foil strips 64a and 64b can be made from a variety of elements, and are preferably tin. However, copper, lead, or any other metal or combination of metals which can be manufactured as a foil are equally acceptable substitutes. Whatever the composition of the foil strip 64a and 64b, it must be able to "block" the shadow density effect of the lamella 58. The thickness of the foil strip 64a, 64b will vary depending upon the type of material used. So long as the metal used is manufactured to industry standards as a "foil", the resulting thickness will be acceptable. Therefore, for example, where tin is used for the foil strip 64a and 64b, a thickness of 0.003 mm produced highly successful results. The foil strip 64a or 64b can be pre-cut to a shape conforming to the lamella 58 and then attached to the appropriate side wall 60, 62 with an adhesive 65. In the preferred embodiment, the adhesive 65 is an acrylic based, pressure sensitive adhesive. However, other adhesives or forms of attaching the foil strips 64a or 64b to the lamella 58 are acceptable. For example, the foil strip 64a, 64b can be electrochemically coated on the first side wall 60 and the second side wall 62. Finally, a single piece of foil can be wrapped around the lamella 58. FIGS. 4A, 4B, 5A, 5B and 6 represent various tests and results of the foil strips 64a and 64b placed on the plurality of lamellae 30. FIG. 4A represents a first test performed with uncoated lamellae 30. In particular, a radiographic grid, including the plurality of lamellae 30 which were not coated with the foil strip (64a and 64b in FIG. 3), was placed on a film 70. Notably, the outer walls (28A-28D in FIG. 2) of the radiographic grid have been omitted from FIG. 4a to better show the test. A lead strip 72 was placed on top of the plurality of lamellae 30. A 4 cm piece of plastic 74, representing a human breast, was placed between an x-ray source (12 in FIG. 1 for example) and the radiographic grid. The x-ray source (12 in FIG. 1) was run at an energy radiation of 28 keV. Notably, mammographies are normally run at an energy radiation level in the range of 24-28 keV. During the test, the lead strip 72 blocked primary radiation from reaching the film 70 so as to better demonstrate the effects of the lamellae 30. FIG. 4B is a representation of an x-ray image 80 formed with the test described with reference to FIG. 4A. The image 80 depicts the strip of lead (72 in FIG. 4A) as an area of different density 82. Each of the plurality of lamellae (30 in FIG. 4A) also produced a definable image 84. Finally, each of the plurality of lamellae (30 in FIG. 4A) emitted line artifacts 86. These artifacts 86 appeared as shadows on the edges of the lamellae images 84. Between each lamella image 84, there is one artifact 86. FIG. 5A represents a second test performed with uncoated lamellae 30. Once again, a radiographic grid, including the plurality of lamellae 30 which were not coated with the foil strips (64a and 64b in FIG. 3), was placed on a film 90. The outer walls (28A-28D of FIG. 2) of the radiographic grid have been omitted to better show the test. A lead sheet 92 was placed on top of the plurality of lamellae 30. The lead sheet 92 included a rectangular opening 94. A piece of plastic 96, representing a human breast, was placed between an x-ray source (12 in FIG. 1 for example) and the radiographic grid. The x-ray source was run at an energy radiation of 28 keV. The rectangular opening 94 in the lead sheet 92 allowed primary radiation to pass through to the film 90. FIG. 5B is a representation of an x-ray image 100 formed with the test described with reference to FIG. 5A. The rectangular opening (94 in FIG. 5A) produced a definable image 102. Similarly, the plurality of lamellae (30 in FIG. 5A) produced definable images 104. Finally, several of the plurality of lamellae (30 in FIG. 5A) emitted line artifacts 106. As expected, no line artifacts were produced by the plurality of lamellae (30 in FIG. 5A) not aligned with the rectangular opening (94 in FIG. 5A). Notably, the line artifacts 106 extended far beyond the rectangular opening image 102. Thus, the line artifacts 106 appear to be carefully transmitted to extend beyond an expected angle of acceptance. In other words, as x-rays pass through the piece of plastic (96 in FIG. 5A), scattering takes place. The x-ray source (12 in FIG. 1) produces x-rays which pass into the piece of plastic (96 in FIG. 5A). The scatter resulting from the primary rays striking the plastic at an angle leaves the piece of plastic (96 in FIG. 5A) at a resulting angle of acceptance. Some of these scattered x-rays pass through the rectangular opening (94 in FIG. 5A) and then contact the plurality of lamellae (30 in FIG. 5a) aligned with the rectangular opening (94 in FIG. 5A) at an angle. Thus, the resulting lamellae line artifacts 106 do not terminate at the angle of acceptance of the rectangular opening (96 in FIG. 5A), but instead extend "beyond" the image 102. FIG. 6 is a representation of an x-ray image 110 formed with a radiographic grid having the plurality of lamellae (30 in FIG. 2) lined with a tin foil (shown in FIG. 3 as 64a, 64b). Similar to the test shown in FIG. 4A, a strip of lead (72 in FIG. 4A) was placed across the radiographic grid prior to activating the x-ray source. The strip of lead (72 in FIG. 4A) produced a definable image 112. Similarly, the plurality of lamellae (30 in FIG. 2) produced a definable image 114. However, as is shown in FIG. 6, the lamellae line artifacts are no longer present. Thus, the foil (64a, 64b in FIG. 3) eliminated the unilateral, well-defined density emanating from the plurality of lamellae. Numerous tests have produced consistent results. For example, foil comprised of tin, copper or lead all eliminated the lamellae line artifacts from the x-ray image. Further tests, similar to those described with respect to FIG. 6, were performed with a foil coating on only one of the lamella. This approach did not eliminate the line density artifact. Thus, the complete elimination of the lamellae line artifact appears to depend upon coating both adjacent lamellae with foil strips. However, coating only a single lamella with foil strips will still reduce the line density artifact. The radiographic grid of the present invention provides a significant improvement over past grids. By applying a foil coating to the side walls of the lamellae, the lamella line artifacts are eliminated. As a result, a more accurate x-ray image is produced. FIG. 7 and 8 show another embodiment of the present invention, which is based upon the surprising discovery that a foil (or coating) on lower end portions of each lamella is effective in eliminating the lamellae line artifacts from the x-ray image. As shown in FIG. 7, lamella 58' has foil 64' covering its lower or bottom end portion. Foil 64' covers a small portion of each side of lamella 58', as well as the bottom edges of lamella 58'. Tabs 52' are also covered by the foil. It has been found that using foil only on the bottom portions of each lamella (as opposed to covering both sides entirely) achieves the same elimination of line density artifacts. The embodiment shown in FIGS. 7 and 8 offers the further advantage of using far less foil. As in the previous embodiment shown in FIG. 3, foil 64' can be attached by adhesive or can be formed by coating processes, such as electrochemical coating. When the distance between the lamellae is decreased to less than 2 mm the linear artifact becomes a solid density in the interspaces. This density may or may not be present in the particular interspace. There is no pattern; it may alternate. The energy that produces this effect appears to be equilibrated and related to the variance in the interspace. By analogy, immersing a varied interspace grid partway into a fluid with standing wave vibrations would induce a wave in some but not all interspaces depending on the spacing and frequency of the wave. The application of the foil as described above will eliminate this density. Although the present invention has been described with reference to preferred embodiments, workers skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the invention. For example, the radiographic grid has been described as including lamellae with tabs. However, these tabs are not required. Further, the use of foil coated lamellae has other applications with radiographic grids. For example, the grid can be used with digital radiography.
abstract
A passively-cooled spent nuclear fuel pool system comprising: a spent nuclear fuel pool comprising a body of liquid water having a surface level, at least one spent nuclear fuel rod submerged therein that heats the water; a lid covering the spent nuclear fuel pool to create a hermetically sealed vapor space between the surface level and the lid; and a passive heat exchange sub-system including a riser conduit comprising first and second riser inlet sections and a primary riser section that receives water vapor therefrom. Each riser inlet section has a respective inlet positioned in a respective section of the vapor space. A downcomer receives and condenses water vapor from the primary section forming condensed water vapor. A return conduit fluidly coupled to the downcomer and having an outlet located in the body of liquid water returns the condensed water vapor thereto.
053295625
abstract
This invention relates to a method of cutting and removing a nuclear reactor which includes the pressure-feeding of a grouting material into a pressure vessel and to peripheral members outside the pressure vessel so as to integrate and solidify them, and the cutting of the structure starting with the outer periphery of the pressure vessel. When the cutting operation is carried out, the present invention preferably uses a wire saw having diamond grains on the surface thereof, and further performs double cutting by the use of a preceding cutting edge and a cutting groove width adjustment cutting edge when the cutting operation is made. In this way, the pressure vessel of the nuclear reactor can be dismantled and removed safely and efficiently. This invention can also include the packing of a cement grouting material or a synthetic resin material into the reactor, covering the outer periphery of the reactor with a concrete, cutting the reactor into blocks starting with its outer peripheral portion with a wire saw using diamond beads and carrying out the blocks, and also the packing of a cement grouting material or a synthetic resin material into the reactor, plasma-cutting the outer portion of the reactor, then cutting the inside of the reactor into blocks with a wire saw using diamond beads, and carrying out the blocks, in order to cut and remove the nuclear reactor. Advantages of this invention include the cutting of the reactor in a stable manner, and the reduction of the wear of the wire saw grains.
summary
061887412
summary
FIELD OF THE INVENTION This invention relates generally to boiling water nuclear reactors and more particularly, to sealing elongate hollow members such as control rod drive housings to a bottom head dome of a nuclear reactor pressure vessel of such reactors. BACKGROUND OF THE INVENTION Boiling water nuclear reactors typically include a reactor core located within a reactor pressure vessel (RPV). A known RPV includes a substantially cylindrical shell. The shell, for example, can be about twenty feet in diameter and about seven inches thick. The cylindrical shell is closed at its top end by a removable top head. The top head is removable so that components, such a fuel bundles, located in the RPV can be accessed. The RPV cylindrical shell is closed at its bottom end by a dome shaped bottom head assembly welded to the shell. A plurality of openings, sometimes referred to as penetrations, are formed in the bottom head dome so that components, such as control rod drive assemblies, can extend within the RPV. Typically, a substantially cylindrical stub tube having a bore extending therethrough is welded to the bottom head dome and the tube bore aligns with an opening in the bottom head dome. The cylindrical stub tube typically is fabricated from a corrosion resistant material such as stainless steel or Ni--Cr--Fe. With a control rod drive assembly, for example, the control rod drive housing, e.g., a tube, is inserted through the bottom head dome opening and stub tube bore, and the housing extends into the RPV. The control rod drive (CRD) housing is welded to the stub tube to maintain the housing in the desired position. The stub tube thus serves as a transition piece between the bottom head dome, which typically is fabricated from low alloy steel (LAS), and the CRD housing, which typically is fabricated from stainless steel. Stress corrosion cracking (SCC) is a known phenomenon occurring to adjacent stub tube welds connecting the bottom head dome to the stub tube and connecting the stub tube to the CRD housing. Particularly, the stub tube welds are subject to a variety of stresses associated with, for example, differences in thermal expansion, the operating pressure needed for the containment of the reactor cooling water, and other sources such as residual stresses from welding, cold working and other inhomogeneous metal treatments. Such stresses may, at times, cause cracks adjacent the stub tube welds or may even cause cracks in the weld materials. To avoid SCC, it is desirable to eliminate welds. In addition, the welds which are required should be inspectable. It would be desirable to provide a stainless steel penetration to LAS head attachment that eliminates the stub tube to head weld, meets all design stress requirements, and simplifies fabrication. SUMMARY OF THE INVENTION These and other objects may be attained by an integral stub tube which simplifies the reactor pressure vessel fabrication process, provides a structural transition between the penetration and head, and facilitates future remote inspection of the attachment weld. In one embodiment, a stub tube is machined into the bottom head dome. Specifically, a penetration is formed in the bottom head dome by a bore having a stub tube portion. The stub tube portion has a cylindrical shape and a length of the stub tube portion is selected to provide a transition between a penetration housing and an adjacent portion of bottom head dome. The penetration housing extends through the penetration, in the dome. A weld attaches the stub tube portion to the penetration housing. The above described integral stub tube provides a pressure boundary attachment at the junction between control and instrumentation housings and the bottom head of the pressure vessel. Such stub tube also provides a structural transition between the penetration housing (which is typically austenitic stainless steel) and the LAS bottom head. This structural transition provides a transition in stiffness and smooths out temperature gradients, and has the advantage of being an integral part of the bottom head. In addition, such integral stub tube requires only one weld for each penetration, and the weld is axisymmetric. An axisymmetric weld is easier to make than a non-axisymmetric weld. Requiring just one weld for each penetration also reduces the number of welds that must be inspected during service by eliminating the stub tube to head weld. The weld also is readily accessible for inspection. Also, all machining required to form the stub tube portion can be performed by conventional boring and tree-panning operations. Complex multi-axis machining is eliminated, which results in a potential fabrication cost savings as compared to known stub tubes. Reliability of the reactor is believed to be improved and the risk of future materials related problems is reduced because Ni--Cr--Fe material is not used in the stub tube.
abstract
A fuel assembly of a boiling water reactor contains a fuel assembly base closed at the top by a filter plate, a fuel assembly head, and, disposed between them, a bundle of long and medium-length fuel rods extending away from the fuel assembly base. A water channel is disposed inside the fuel rod bundle. A plurality of the medium-length fuel rods are fixed axially on a separate holding part which is connected to the upper side of the fuel assembly base.
description
1. Technical Field The present invention relates to an underwater carriage for the inspection of the inner surface of a pressure vessel of a nuclear reactor and, more particularly, to the mounting of the transducers on the underwater carriage. 2. Description of the Prior Art Under the Atomic Energy Act of 1954, the United States Nuclear Regulatory Commission (“NRC”) has the authority to inspect nuclear power plants to protect public health and safety. A part of the NRC inspection program assesses whether the equipment is properly maintained to ensure safe operation. Reactor inspections are conducted by independent inspectors to provide an assessment of the plant's condition and performance. One of the inspections that is routinely performed on a nuclear power plant is an inspection of the walls of the reactor vessel, reactor containment vessel, and/or core shroud. There is a family of nuclear reactors known as light water reactors (LWR) which are cooled and modulated with ordinary water and tend to be simpler and cheaper to build than other types of nuclear reactors. LWRs can be sub-divided into three categories of (1) boiling pressure reactors (BPRs), (2) boiling water reactors (BWRs) and (3) super-critical water reactors (SCWRs). An LWR will have a containment vessel and a reactor pressure vessel. Generally, LWRs are divided into a BWR or BPR type of system. Regardless of the type of nuclear power plant, the containment vessel, reactor vessel and other vessels used in generating nuclear power have to be inspected to make they are still structurally sound. Such inspections have to take place while the vessels are still filled with a fluid such as water, but while that particular unit of a nuclear power plant is not in operation. In the past, carriages have been developed to move through water while simultaneously attaching itself to the walls of a vessel. A good example is U.S. Pat. No. 5,730,553, which is incorporated by reference, that has a skirt around the underwater carriage with thrust fans for attaching the underwater carriage to the wall of a vessel being inspected. The underwater carriage would then move around on the wall of the vessel being inspected. The underwater carriage may carry transducers for the inspection of walls of the vessel on which the underwater carriage is located. Such an underwater carriage with the transducers being carried thereon is illustrated in U.S. Pat. No. 5,852,984, which is incorporated by reference. To ensure the transducers which are ultrasonic probes are in good contact with the surface being inspected, the '984 Patent has sweeping units to make sure the surface of the walls of the vessel are clean and smooth. The ultrasonic probe can then be used to detect flaws and cracks in the walls of the vessel including nuclear reactor pressure vessels. However, in these prior designs, it was always a problem of maintaining the transducer or ultrasonic probe a uniform distance from the inside surface of the wall of the vessel. If a uniform distance is not maintained, false readings could be indicated to the operator at the surface, which could be misinterpreted as a flaw in the vessel. Applicant has discovered a way to overcome these false readings. It is an object of the present invention to provide an apparatus and method for attachment of a pod of transducers/probes to a carriage moveable in water. It is a further object of the present invention to provide a method for attaching a pod of transducers/probes to an underwater carriage for inspection of the walls of a vessel filled with water. It is a further object of the present invention to provide a method and apparatus for inspecting containment vessels, pressure vessels and/or nuclear reactor vessels while filled with a fluid such as water to determine the structural integrity of the vessels. It is yet another object of the present invention to provide pods of transducers/probes attached to an underwater carriage that moves along the internal surface of a vessel, which pods are used to determine the structural integrity of the vessel while the underwater carriage moves along its internal surface. In a nuclear power plant, numerous vessels such as a containment vessel, pressure vessel, nuclear reactor vessel, just to name few, have to be inspected on a regular basis to ensure their structural integrity. These vessels are normally filled with a fluid such as water. When the nuclear reactor unit is not in use, a reactor containment vessel and/or reactor pressure vessel can be opened at the top and an underwater vehicle lowered therein. The underwater carriage may have transducer/probes thereon which emit ultrasonic signals into the walls of the vessel. The ultrasonic signals will reflect off of any defect in the vessel, which reflected signals are received and recorded indicating a flaw or structural weakness in the vessel. Because a single transducer/probe may give inaccurate readings depending upon movement of the transducer/probe along the surface of the vessel, a collection or pod of transducer/probes is found to be much more effective in determining the structural integrity of the vessel. However, even using a pod of transducers/probes, it is important to maintain as many of the transducers/probes as close to or against the inside surface of the vessel as possible. Structural items in the vessel may limit movement of the underwater vehicle inside of the vessel. By extending the pod outward on either the left or right side, a much more thorough and complete inspection of the vessel can be obtained to more accurately determine if there is a structural flaw in the vessel. A complete and thorough inspection is extremely important in nuclear reactor vessels because the vessels are subject to extremes of temperature and pressure. The present invention provides for an apparatus and method for attaching a pod of transducers/probes to an underwater carriage. The transducers/probes are contained in the pod and are independently articulated and urged against the walls of the vessel being inspected. An illustrative flow diagram for a nuclear power plant for generating electricity is shown in FIG. 1 and is represented generally by reference numeral 11. The nuclear power plant 11 has a reactor containment vessel 13 that has a Taurus 15 with an auxiliary water feed 17, which is a backup water supply for the nuclear power plant 11. Inside of the reactor containment vessel 13 is located a reactor pressure vessel 19. A bundle of fuel rods 21 absorb a neutron to cause nuclear fission and release of other neutrons. The nuclear fission heats the water contained within reactor pressure vessel 19 to convert the water to steam. To ensure the bundle of fuel rods 21 remain immersed in water an internal reactor recirculation pump 23 continues to recirculate water over the bundle of fuel rods 21. Also, an external reactor recirculation pump 25 circulates water within the reactor pressure vessel 19 to ensure the bundle of fuel rods 21 remain cool and immersed in the water. While in the reactor pressure vessel 19 different fluids have been used, including gas, liquid metal or molten salts to ensure that the nuclear reaction does not run away. Control rods 27 are located in the bottom of the reactor pressure vessel 19. The control rods 27 absorb some of the released neutrons to prevent too large of a nuclear reaction with the bundle of fuel rods 21. Above the bundle of fuel rods 21 is located heat exchanger 29, which is used to convert the water to steam. Steam generated in the reactor pressure vessel 19 enters steam line 33 through outlet nozzle 31. The steam flows through the steam line 33 and the main steam isolation valve 35 to enter steam turbine 37. As the steam turns the steam turbine 37, steam turbine 37 turns generator 39, which generates electricity. After the steam flows through the steam turbine 37, a major portion of the steam flows through the main steam exit conduit 41 to condenser 43. Circulating through the condenser coil 45 is cooling water received from the cooling tower 47 via condenser cooling water pump 49, cooling water control valve 51 and cooling water inlet conduit 53. The cooling water returns to the cooling tower 47 via cooling water return conduit 55 and cooling water return valve 57. The cooling water can be of any convenient source such as lake water or river water. The cooling water does not have to be refined or processed. From condenser 43 through the feed water return conduit 59, the water is being pumped by condenser pump 61 through water return valve 63 into a feed water heater/preheater 65. The feed water flowing back to the reactor pressure vessel 19 is heated/preheated inside of feed water heater/preheater 65, which receives some of the steam flowing through steam turbine 37 through preheater steam conduit 67 and control valve 69 to feed water heater/preheater 65. The feed water heater/preheater 65 increases the temperature of the feed water significantly prior to returning to the reactor pressure vessel 19 via reactor feed pump 71, main feed water isolation valve 73 and main feed water return conduit 75. The main feed water is discharged into the reactor pressure vessel 19 through return nozzle 77. Any remaining portion of the preheater steam received in the feed water heater/preheater 65 flows to condenser 43 through preheater steam conduit 79 and preheater steam control valve 81. The temperature and the pressure inside some of the vessels, especially the reactor pressure vessel 19, can vary greatly. Pressure can be in the thousands of pounds per square inch. Temperatures inside of the reactor pressure vessel can be as much as 130° F. Because of the large variations in temperature and pressure, it is important to inspect all of the vessels, especially the reactor pressure vessel 19 to make sure the vessel is still structurally sound. The present invention is directed towards an underwater carriage that has transducers mounted thereon for inspecting the walls of a vessel (such as the reactor pressure vessel 19) while the vessel is filled with a fluid such as water. Referring to FIG. 2, which is an enlarged partial view of the inside of the reactor pressure vessel 19, an underwater carriage 83 is attached to the inner surface 85 of the reactor pressure vessel 19. Nozzle 84 allows a fluid such as water to flow into the reactor pressure vessel 19. Mounted on rail support 87 is a right Y-arm offset 89. On the front of the right Y-arm offset 89 is a pod 91 of transducers, all of which will be explained in more detail subsequently. Bundled cable 93 carries the signals from underwater carriage 83 and the pod of transducers 91 back to the surface. During an inspection as pictorially illustrated in FIGS. 1 and 2, the nuclear power plant 11 is shut down. The top of the reactor containment vessel 13 is removed. The top of the reactor pressure vessel 19 is also removed. With the reactor pressure vessel 19 still filled with water or some other similar fluid, the inspection of the reactor pressure vessel 19 occurs. The underwater carriage 83 is lowered into the water (not shown because the water is clear) and attached to the inner surface 85 of the reactor pressure vessel 19. As will be explained in detail subsequently, the underwater carriage 83 in combination with the pod 91 of transducers located thereon can inspect the reactor pressure vessel 19 with a maximum amount of contact by the transducers with the inner surface 85. This allows the maximum of the nooks and crannies on the reactor pressure vessel 19 to be inspected with the maximum overall coverage. Referring to FIG. 3, a top view of an underwater carriage 83 is shown. On the front of the underwater carriage 83 is located a rail support 87. On the top of the rail support 87 are located bearing rails 95. The left end 97 of the bearing rail 95 extends beyond the left side 99 of underwater carriage 83. Left and/or right is determined by the direction of movement of the underwater carriage 83, the same as left and right is determined on an automobile. Mounted on the bearing rails 95 are bearing slide rails 101 and 103. Pivotally attached to bearing slide rails 101 and 103 is left Y-arm offset 105. Left Y-arm offset 105 is continually urged downward by spring 107. Mounted on the bearing rail 95 and bearing slide rail 101 is Y-arm bracket 109. On the front of the left Y-arm offset 105 is located a pod 111 of transducers 113. Each of the transducers 113 connect through electrical connection 115 via the cable bundle 93 (see FIG. 2) to the top of the reactor containment vessel 19 where the measurements are physically being recorded. Referring to FIG. 4, there is an enlarged view of the left end 97 of the rail support 87 showing the left Y-arm offset 105 with the transducers 113 mounted thereon. As can be seen in FIG. 4, the spring 107 continually urges the transducers 113 against the surface being inspected. The transducers 113 are connected to the left offset bracket 105 by shoulder bolt 117. Rotational movement of the transducers 113 may be limited by limiting bar 119. Referring to FIG. 5, an exploded perspective view of the transducer pod 91 is shown. Each of the transducers 113 are further designated by the letters A, B, C or D. Likewise, the electrical connections 115 are designated with letters A, B, C and D corresponding with transducers 113 that bear the same letters A, B, C, or D. Between transducers 113A and 113C is the shoulder bolt opening 121 to receive the shoulder bolt 117 therein (see FIG. 4). The shoulder bolt opening 121 is located in pivot bracket 123. Pivot bracket 123 holds the limiting bar 119 and is connected on the bottom thereof to the transducer center rod 125. The transducer center rod 125 extends through transducer center rod opening 127 located through the bottom of pivot bracket 123. Each end of the transducer center rod 125 extends into a back side opening (not shown) in transducer end bracket 129. The transducer end bracket 129 is held onto the end of transducer center rod 125 by end screws 131. The transducer end bracket 129 is held to transducer 113C by side screws 133. Side screws 133 extend through hole 135 in transducer end bracket 129 to threadably connected in threaded hole 137 in each side of base 139 of transducer 113C. While not shown and explained in detail, transducer 113A connects in the same manner as transducer 113C explained herein above. Transducers 113A and 113C can pivot around the center line of the transducer center rod 125 or around the center line of the shoulder bolt 117 (see FIG. 4). Pivotally connected on the transducer center rod 125 is a rear transducer bracket 141 and a front transducer bracket 143. Rear transducer bracket 141 is connected to transducer 113D by rear transducer screws 145 threadably connecting to the base 147 of transducer 113D. While not visible in FIG. 5, another rear transducer bracket connects to the other side of transducer 113D in the same manner as rear transducer bracket 141. Front transducer bracket 143 also is pivotally connected on transducer center rod 125 and is bolted to the base 149 of transducer 113B by front transducer screws 151. It is important that each of the transducers 113A, 113B, 113C and 113D have some movement capability so that they can as a group continually be urged in contact with the surface being probed. It is also important that the transducers 113A, 113B, 113C and 113D move independently of each other to the degree possible. Transducers 113B or 113D can rotate independently of any other transducer around transducer center rod 125. Springs 153 continually urge transducers 113B and 113D downward around the transducer center rod 125 and against whatever surface is being probed. To prevent excessive rotation by transducers 113B and 113D, limiting rods 119 may abut against shoulder 155 of front transducer bracket 143 or shoulder 159 of front transducer bracket 143. By having independent pivotal movement of transducers 113A, 113B, 113C and 113D, the respective transducers can maintain better contact with the surface being inspected. For example, the reactor pressure vessel 19, as seen in FIG. 2, has a curved inner surface 85. On the curved inner surface 85 there may be some roughness of the surface due to manufacturing or deposits forming thereon. Hence it is important for the transducers 113A, 113B, 113C and 113D to maintain as good a contact with the inner surface 85 as possible. Therefore, each of the transducers are independently moveable while the entire pod 91 is continually being urged against the inner surface 85 by spring 107 (see FIG. 3). In addition to the pivotal movement of the transducers 113A, 113B, 113C and 113D as described herein above, each of the transducers can rotate about the axis formed by the screws holding the transducers to respective brackets. For example, transducer 113C can rotate about the axis formed by screws 133. Transducer 113A can rotate in a similar manner. Likewise, transducer 113B can rotate about the axis formed by front transducer screws 151. Transducer 113D can rotate about the axis formed by rear transducer screws 145. Referring now to FIG. 6, FIG. 6 is identical to FIG. 3, except left offset bracket 105 has been replaced with right offset bracket 161 and the rail support 87 has been relocated on the underwater carriage 83 so that the pod 111 is now located on the right end 163 of the rail support 87. Depending upon the obstruction within the vessel being inspected, the pod 111 of transducers 113 can be located on the left side of the underwater carriage 83 as shown in FIG. 3, or on the right side of the underwater carriage 83 as shown in FIG. 6. This allows for versatility in the position and location of the pod 111 of transducers. The arrangement of transducers 113 in the pod 91 as shown in FIG. 5 is commonly referred to as a “little t” arrangement. There are other arrangements for the transducer pods. A different arrangement is shown in FIGS. 7 and 8, which is commonly referred to as a “big T” arrangement of transducers. In FIG. 7, the underwater carriage 83 has a rail support 165 mounted on the front thereof. The bearing rails 95 are the same as previously described along with bearing slide rail 101 and bearing slide rail 103. Also, spring 107 continually urges the pod 167 of transducers 169 against the surface being inspected. The transducers 169 are mounted on the forward end of triangular bracket 171. Triangular bracket 171 is pivotally mounted at the rear thereof to bearing slide rail 101 and bearing slide rail 103. Referring now to FIG. 8, which is an exploded perspective view of the pod 167 of transducers 169, the entire pod 167 is mounted on the front end of the triangular bracket 171 (see FIG. 7) by connecting to center pivot bracket 173. A bolt 179 extends through opening 177 in side transducer bracket 175 and opening 181 in center pivot bracket 173 prior to threadably connecting to the front end of triangular bracket 171 (see FIG. 7). The bolt 179 has a smooth shank on the portions thereof extending through openings 177 and 181 to allow for free pivotal movement around the axis of bolt 179. Each of the transducers 169A, 169B, 169C and 169D electronically connect through electrical connections 183A, 183B, 183C and 183D, which form a part of the cable 93 shown in FIG. 2, back to the area at the top of the reactor containment vessel 13 (see FIGS. 1 and 2) where test personnel are located. As shown in FIG. 8, the center pivot bracket 173 has a bolt 185 threadably connecting in each side thereof. The bolts 185 extends through opening 187 in rear transducer bracket 189 and opening 191 in center transducer bracket 193 to threadably connect with threaded hole 190 in center pivot bracket 173. Rear transducer bracket 189 and center transducer bracket 193 can freely rotate around the smooth portion of the shank of bolt 185. While not visible in FIG. 8, identical rear transducer bracket 189 and center transducer bracket 193 are located behind transducers 169A and 169D in a similar manner to the connections just described. The rear transducer bracket 189 is connected to transducer 169A by rear transducer screws 195. Transducer 169A may rotate about the axis of rear transducer screws 195. The center transducer 169D is connected to the center transducer bracket 193 by center transducer screws 197. Transducer 169D is free to rotate about the axis of the center transducer screws 197. However, the amount of rotation is limited due to the length of the slot 199 in center pivot bracket 173 through which electrical connection 183D extends. While not shown in FIG. 8, springs similar to springs 153 as shown in FIG. 5, will be utilized in FIGS. 7 and 8. The springs will continually urge transducers 169A and 169D into contact with the surface being inspected. Attached to the front lower portion of side transducer bracket 175 are side transducer brackets 201. Side transducer brackets 201 are attached to the lower ends of side transducer brackets 175 by side transducer bracket screws 203. Transducer 169B is free to rotate around the axis of side transducer bracket screw 203. Side transducer screws 205 pivotally attach transducer 169B to side transducer bracket 201. Side transducer screws 205 threadably connect to the base 207 of transducer 169B. Transducer 169B is free to rotate about the axis of side transducer screws 205. While not explained in further detail, transducer 169C is connected in a manner similar to 169B. By mounting of the transducer pods 167 in the manner as just described in conjunction with FIGS. 7 and 8, the independent movability of each of the transducers 69A, 69B, 69C and 69D is maintained to give the maximum contact with the surface being inspected. Also, the pivotal mounting of triangular bracket 171 and the continual urging of spring 107 urges the entire pod 167 in contact with the surface being inspected. The individual movability of each of the individual transducers 169A, 169B, 169C and 169D also helps ensure the maximum contact with the surface of the vessel being inspected. This gives a better signal, which in turn gives a more accurate reading and determination as to the condition of the vessel under inspection.
claims
1. A method, comprising:providing a semiconductor wafer having a plurality of die areas;measuring a characteristic of a test structure in each individual die area to create a map of the semiconductor wafer, the map identifying at least one defect at one or more locations on the semiconductor wafer; andfor each individual die area:(1) adjusting a parameter of a laser based on the map of the measured characteristic of the test structure in that die area; and(2) annealing that die area with the laser adjusted based on the measured characteristic of the test structure in that die area so as to alleviate the defect. 2. The method of claim 1, wherein the measuring is performed at a plurality of locations within each individual die area. 3. The method of claim 1, wherein the measuring is one of a sheet resistance measurement, a thermal wave measurement, or a Photo Luminescence Imaging (PLI) measurement of the test structure on the semiconductor wafer. 4. The method of claim 1, wherein the step of measuring a characteristic of a test structure includes storing a result of the measuring in a computer readable storage medium. 5. The method of claim 1, wherein the parameter is an intensity of the laser. 6. The method of claim 1, wherein the parameter is a wavelength of the laser. 7. The method of claim 1, wherein the parameter is a duration of the laser scan. 8. A system, comprising:a laser light source;a pedestal configured to hold a semiconductor wafer, wherein one of the laser light source and the pedestal is configured to move in relation to the other of the laser light source and the pedestal; anda processor in signal communication with the laser light source and the pedestal, the processor configured to:control the relative movement between the pedestal and the laser light source; andadjust a parameter of the laser light source individually for scanning each of a plurality of die areas so as to alleviate at least one defect in the semiconductor wafer based on a map of the semiconductor wafer, the map characterizing the individual die areas of the semiconductor wafer based on a respective value of a first measurement taken in each respective die area such that one or more locations of the at least one defect in the semiconductor wafer are identifiable. 9. The system of claim 8, wherein the first measurement is a sheet resistance measurement. 10. The system of claim 9, wherein the first measurement is a thermal wafer measurement. 11. The system of claim 9, wherein the parameter of the laser light source is an intensity of the laser light. 12. The system of claim 9, wherein the parameter of the laser light source is a wavelength of the laser light. 13. A machine readable storage medium encoded with program code, wherein when the program code is executed by a processor, the processor performs a method, the method comprising:receiving a map of a measurement of a characteristic of a test structure in each of a plurality of individual die areas of a semiconductor wafer, the map identifying at least one defect at one or more locations; andfor each individual die area:(1) adjusting a parameter of a laser based on the map of the measured characteristic of the test structure in that die area; and(2) controlling the laser to anneal that die area with the laser adjusted based on the map of the measured characteristic of the test structure in that die area so as to alleviate the defect. 14. The machine readable storage medium of claim 13, wherein the measuring is performed at a plurality of locations within each individual die area. 15. The machine readable storage medium of claim 13, wherein the measuring includes taking a sheet resistance measurement of the semiconductor wafer. 16. The machine readable storage medium of claim 13, wherein the measurement includes taking a thermal wave measurement. 17. The machine readable storage medium of claim 13, wherein the measuring is taking a Photo Luminescence Image of the semiconductor wafer. 18. The machine readable storage medium of claim 13, wherein the parameter is an intensity of the laser. 19. The machine readable storage medium of claim 13, wherein the parameter is a wavelength of the laser. 20. The machine readable storage medium of claim 13, wherein the parameter is a duration of the laser scan. 21. The method of claim 1, wherein the defect is a high concentration of dopant impurities and the laser is adjusted so as to alleviate the defect by diffusing the dopant impurities in the semiconductor wafer.
description
Referring now to the accompanying drawings, some embodiments of the present invention will be described below. In any of the following embodiments, an electron beam exposure system for writing a pattern on a wafer using electron beams will be described as a charged particle beam exposure system. FIG. 1 is a schematic construction drawing showing an electron optical system of the first embodiment of a charged particle beam exposure system according to the present invention. As shown in this figure, this embodiment is characterized by the construction of electrostatic lenses and the construction of a reducing projecting optical system. That is, in an electron beam exposure system 10 shown in FIG. 1, all of electrostatic lenses except for illumination lenses 15a and 15b, i.e., a first shaping deflector 17, a second shaping deflector 21, electrostatic lenses 23Q1 through Q4 for controlling trajectories of electron beams independently in X and Y directions, a pre main deflector 25 and a sub deflector 31 comprise electrostatic multi-pole lenses. Each of these multi-pole lenses comprises eight electrodes which are arranged at angular intervals of 45 degrees. Referring to FIGS. 2A through 2C, the concrete shape of a multi-pole lens of the electron beam exposure system 10 in this embodiment will be described below. FIG. 2A shows a quadrupole lens with four electrodes. The electrodes Q11a through Q11d of a quadrupole lens in this figure are formed in a cylindrical shape respectively and arranged at angular intervals of 90 degrees. FIG. 2B shows an example of a quadrupole lens comprising eight electrodes, and shows eight cylindrical electrodes Q12a through Q12h which are arranged at angular intervals of 45 degrees. FIG. 2C is a plan view showing the construction of a multi-pole lens 23Q1 of the charged particle beam exposure system 10 in this embodiment, and typically shows the construction of electrostatic deflectors 17, 21, 25 and 31 and electrostatic lenses 23. The multi-pole lens 23 comprises eight electrodes Q13a through Q13h which are arranged at angular intervals of 45 degrees. Each of the electrodes is formed in a sector plane shape. In this embodiment, adjacent two of the eighth electrodes of the multi-pole lens 23 are used as a single quadrupole electrode so that the whole multi-pole lens 23 operates as a quadrupole lens. For example, a voltage of +V is applied to the electrodes Q13a and Q13b, so that these electrodes are controlled so as to function as the electrode Q11a shown in FIG. 2A. Then, in the following description the multi-pole lens 23 will be suitably described as the quadrupole lens 23. Referring to FIG. 1 again, the reducing projecting optical system of the charged particle beam exposure system 10 comprises: electrostatic quadrupole lenses 23Q1 through 23Q4, the quadrupole lenses 23Q1 and 23Q2 being provided upstream of the pre main deflectors 25a and 25b, and the quadrupole lenses 23Q3 and 23Q4 being provided downstream of the pre main deflectors 25a and 25b; a sub deflector 31 which is provided between Q4 of the fourth quadrupole lens 23 and a wafer 14; and shielding electrodes 36 and 39 which are arranged in the vicinity of the top and bottom faces of the first shaping deflector 17, the second shaping deflector 21, the pre main deflectors 25a and 25b, and the quadrupole lenses 23Q1 through Q4 in directions of the optical axis. The shielding electrode 36 is formed with an inside diameter "PHgr"1 of 5 mm and the shielding electrode 39 is formed with an inside diameter "PHgr"2 of 10 mm. These shielding electrodes 36 and 39 are connected to the ground to adequately eliminate the possibility that electrostatic fields formed by the respective lenses or deflectors interface with each other. As a result, as can be clearly seen from the comparison with FIG. 13, in this embodiment, all of the first shaping deflector 17, the second shaping deflector 21 and the first and second quadrupole lenses Q1 and Q2 are formed with the same inside diameter "PHgr"1 (5 mm) as the inside diameter of the shielding electrode 36. The third quadrupole lens Q3 and fourth quadrupole lens Q4 of the quadruple quadrupole lenses 23 are designed so that the diameters thereof are greater than those of the first and second quadrupole lenses Q1 and Q2. Specifically, the inside diameter of Q1 and Q2 is "PHgr"1=5 mm as shown in FIG. 3A and the inside diameter "PHgr"2 of Q3 and Q4 is 10 mm as shown in FIG. 3B. As will be described later, the quadrupole lenses Q3 and Q4 form a multi-pole lens field for independently controlling X and Y trajectories of electron beams 8, and also serve as a main deflector 27 for superimposing a deflecting electrostatic field on the multi-pole lens field. The shielding electrodes 36 and 39 are connected to the ground to prevent the leaching of the electrostatic field excited by the respective electrodes. Other constructions of the electron beam exposure system 10 are substantially the same as those of the electron beam lithography system 100 shown in FIG. 13. The operation of the electron beam exposure system shown in FIG. 1 is as follows. The electron beams 8 are emitted from the electron gun 11 to be accelerated and the first aperture 13 having the rectangular or circular opening is irradiated with the electron beams 8. The electron beams 8 passing through the first aperture 13 travel toward the second shaping aperture 19 in which a plurality of bulk exposure cell apertures are arranged. The beam diameter of the electron beams 8 is adjusted to such a size that it is sufficiently greater than an any one of the cell apertures and that the electron beams 8 do not interfere with adjacent cell patterns. The trajectories of the electron beams 8 are deflected and controlled by the first shaping deflector 17 so that a target aperture of the cell apertures formed in the second shaping aperture 19 is irradiated with the electron beams 8. The electron beams 8 passing through the second shaping aperture 19 start as cell pattern beams starting at the second shaping aperture 19 and are returned to the optical axis by means of the second shaping deflector 21 to illuminate the interior of the quadrupole 23. For example, assuming that the optical axis of the electron beams 8 extends in Z directions, a voltage is applied to the quadruple quadrupole lenses 23 so as to form such electrostatic fields in the X and Y directions, i.e., if the first through fourth electrostatic fields in the X directions are sequentially a divergent electrostatic field (Q1), a divergent electrostatic field (Q2), a convergent electrostatic field (Q3) and a divergent electrostatic field (Q4), the electrostatic fields in the Y directions are a convergent electrostatic field (Q1), a convergent electrostatic field (Q2), a divergent electrostatic field (Q3) and a convergent electrostatic field (Q4) by contraries. When the quadrupole lenses 23 are thus controlled, the trajectories of the electron beams 8 from the second shaping aperture 19 to the wafer 14 are shown in FIG. 4. As can be clearly seen from the comparison with FIG. 12, the electron beams 8 pass through different trajectories in the X and Y directions by means of Q1 through Q4 of the quadrupole lenses 23. This point is the same as the electron beam lithography system 100. However, in this embodiment, by means of Q1 and Q2 of the quadrupole lenses 23, the electron beam trajectory 8X in the X directions repeats divergence, and on the other hand, the electron beam trajectory 8Y in the Y directions repeats convergence, so that the electron beams 8 are condensed on the wafer 14 without forming any crossovers with a high electron density. As a result, in the low acceleration electron beam exposure, the influence of the space-charge effect can be substantially reduced. Furthermore, in this embodiment, only the pre main deflector 25a and 25b is used for controlling the beam trajectories. Referring to FIG. 1 again, a position of the region to be written (main field) on the wafer 14 illuminated with the electron beams 8 can controlled by the pre main deflector 25a and the main deflector 27 while referring to the position of the XY stage (not shown) on which the wafer 14 is mounted. The position of the range to be written which is obtained by finely dividing the interior of the stripe (sub field) is controlled by the sub deflector 31. In this embodiment, the quadrupole lenses Q3 and Q4 also serve as the main deflector 27. This is realized by superimposing a deflecting electrostatic field on an electrostatic field which serves to control the trajectories in the X and Y directions by Q3 and Q4 of the quadrupole lenses 23. FIGS. 5A through 7C show examples of electrostatic field superimposing methods. FIGS. 5A through 5C show voltage values which are applied to the respective electrodes of Q3 and Q4 of the quadrupole lenses 23 only for controlling the trajectories of the electron beams 8 in the X and Y directions. In this case, the voltage value applied to the pre main deflector 25a is 0. FIGS. 6A through 6C show the values of voltages which are applied to the pre main deflector 25a and the respective electrodes of Q3 and Q4 of the quadrupole lenses 23 only when the electron beams 8 are deflected in the X directions. FIGS. 7A through 7C show voltage values which are applied to the respective electrodes when the electrostatic field obtained by the voltage values shown in FIGS. 5A through 5C is superimposed on the electrostatic field obtained by the voltage values shown in FIGS. 6A through 6C respectively. The voltage values shown in FIGS. 7A through 7C are equal to voltage values which are obtained by adding the voltage values shown in FIGS. 5A through 5C to the voltage values shown in FIGS. 6A through 6C, respectively. By controlling such voltages, the deflection and control of the electron beams can be realized with the minimum construction. FIGS. 5A through 7C show the control methods for deflecting the electron beams in the X directions. The deflection and control in the Y directions can be realized by rotating the deflecting voltages of FIGS. 6B and 6C by 90 degrees, respectively, and setting all of the deflecting voltages V1 of the pre main deflector 25a to be zero. If a voltage obtained by adding the control voltage in the X directions to the control voltage in the Y directions is applied, it is possible to deflect the electron beams to a direction in which the electron beams are inclined at 45 degrees (diagonal direction). Thus, according to the electron beam exposure system 10 in this embodiment, the aberration components of the electron beams 8 can be minimized by adjusting the ratio of the deflecting voltage of the pre main deflector 25 to that of Q3 and Q4 of the quadrupole lenses 23 serving as the main deflector 27. The deflection of the electron beams can be independently carried out in the X and Y directions. For example, as shown in FIG. 8, the electron beams 48X in the X directions are deflected by means of the pre main deflector 25a, the main deflector 27 (Q3 and Q4 of the quadrupole lenses 23) and the sub deflector 31, and on the other hand, the electron beams 48Y in the Y directions are deflected only by means of the main deflector 27 (Q3 and Q4 of the quadrupole lenses 23) and the sub deflector 31, so that it is possible to further reduce deflection aberration. In this case, the aberration components of the electron beams 8 can be minimized by adjusting the deflecting voltage ratio between the pre main deflector 25, Q3 and Q4 of the quadrupole lenses 23 serving as the main deflector 27, and the sub deflector 31. If the wafer 14 is irradiated with the electron beams 8, secondary electrons and so forth are produced on the surface of the wafer 14. The secondary electron detector 33 provided below the quadrupole 23 is designed to detect these secondary electrons and so forth, and the electron beam exposure system 10 is designed to process the detection signals from the secondary electron detector 33 to detect a SEM image and to adjust the beams and the like. According to the electron beam exposure system 10 in this embodiment, the quadruple quadrupole lenses Q1 through Q4 are used for forming the multi-pole lens field, so that it is possible to avoid deceleration in lenses occurring in conventional rotation-symmetric decelerating electrostatic lenses. Since the beam trajectories of the low acceleration electron beams 8 passing through the second shaping deflector 21 are controlled independently in the X and Y directions respectively by means of the multi-pole lens field, the electron beams 8 can be condensed on the wafer 14 without forming any crossover with a high current density. Thus, it is possible to greatly remove the influence of the space-charge effect even at a low acceleration. Since the multi-pole lens with eight electrodes is operated as a quadrupole lens, it is possible to greatly reduce high-order aberration of deflection. Since the deflection electrostatic field is superimposed on the multi-pole lens field of the quadrupole lenses Q3 and Q4 to operate the quadrupole lenses Q3 and Q4 also as the main deflector, so that it is possible to reduce the optical length of the reducing projecting optical system. Since the inside diameters of the quadrupole lenses Q3 and Q4 are designed to be greater than those of Q1 and Q2, it is possible to form the trajectories of the electron beams in a region except for the vicinity of the electrodes. Thus, it is possible to further suppress deflection aberration. Moreover, since the shielding electrodes 36 and 39, which are ground electrodes, are arranged in close vicinity of both ends of the quadrupole lenses Q1 through Q4 in Z directions, it is possible to prevent the leaching of the electrostatic field from the respective electrodes. Thus, since the possibility of causing interference between the respective electrostatic fields is eliminated, it is possible to further shorten the optical length of the electron optical system, and it is possible to further improve deflection sensitivity. By using the optical system with the above described construction and the above described deflection control method, it was achieved to realize an electron beam exposure system wherein, for example, under a stigmatic condition of a reduction ratio of 1/10 in both of X and Y directions, the optical length between the second shaping aperture 19 and the wafer 14 is 101 mm (see FIG. 1) while the quadrupole lens length (length in Y directions) is 6 mm, the main deflection area is 1.5 mmxe2x96xa1 and the sub deflection function is 50 xcexcmxe2x96xa1. FIG. 9 is a schematic construction drawing showing an electron optical system of the second embodiment of a charged particle beam exposure system according to the present invention. As can be clearly seen from the comparison with FIG. 1, the charged particle beam exposure system 20 in this embodiment is characterized in that a shielding electrode 41 is provided upstream of the pre main deflector 25a, and a shielding electrode 38 is further provided between Q1 and Q2 of the quadrupole lenses 23 in place of the shielding electrode 36. Other constructions of the charged particle beam exposure system 20 are substantially the same as those of the charged particle beam exposure system 10 shown in FIG. 1. The inside diameter of the shielding electrode 38 is designed so as to be smaller than those of adjacent two shielding electrodes, i.e., the shielding electrodes 36 which are provided upstream of the quadrupole lens 23Q1 and downstream of the quadrupole lens 23Q2. For example, when the inside diameter "PHgr"1 of the shielding electrode 36 is 5 mm, the inside diameter "PHgr"3 of the shielding electrode 38 is designed to be 200 xcexcm. Thus, the shielding electrode 38 can be used as a beam aligning aperture for the illumination lenses 15a and 15b, the first shaping deflector 17, the second shaping deflector 21 and Q1 of the quadrupole lenses 23, or as a detector for the electron beams 8. Similar to the shielding electrode 38, the inside diameter of the shielding electrode 41 is smaller than that of each of other shielding electrodes 36 and 39, and for example, the inside diameter of the shielding electrode 41 is "PHgr"4=200 xcexcm. Due to such a small inside diameter, the shielding electrode 41 can be used as a beam aligning aperture for the illumination lenses 15a and 15b, the first shaping deflector 17, the second shaping deflector 21 and Q1 and Q2 of the quadrupole lenses 23, or a detector for the electron beams 8. Since the operation of the electron beam exposure system 20 is substantially the same as the operation of the electron beam exposure system 10 shown in FIG. 1, the detailed description thereof is omitted. While the embodiments of the present invention have been described above, the present invention should not be limited to these embodiments, and the invention can be embodied in various ways without departing from the scope thereof. For example, while all of the quadrupole lenses 23 comprise octpole electrodes to produce a quadrupole field in the above described embodiments, Q1 and Q2 of the quadrupole lenses 23 may comprise four electrodes, and only Q3 and Q4 of the quadrupole lenses 23 for superimposing deflection fields may comprise octpole electrodes as shown in FIG. 2B or 2C. In addition, Q3 and Q4 of the quadrupole lenses 23 should not be limited to octpole electrodes, but they may comprise a multi-pole wherein the number of poles is M (M=4N2, N2 is a natural number of 2 or more). If the multi-pole having the greater number of poles is thus used, it is possible to reduce high-order components in the deflection field and to minimize deflection aberration. While electron beams are used as charged particle beams in the above described embodiments, the present invention should not be limited thereto, but the invention may be generally applied to a charged particle beam exposure system using ion beams as charged particle beams.
description
The present invention refers to a ceramic nuclear fuel pellet for a nuclear reactor, wherein the nuclear fuel pellet comprises a first fissile material of UB2. The invention also refers to a fuel rod comprising a cladding tube and a plurality of nuclear fuel pellets, and to a fuel assembly comprising a plurality of fuel rods. The dominant nuclear fuel used today comprises sintered nuclear fuel pellets of uranium dioxide, UO2. Uranium dioxide is an excellent nuclear fuel having a melting point of 2865° C. However, there is a demand for improvements in certain respects. An increase of the uranium density, would improve the economy of the fuel. An increase of the thermal conductivity, would improve the in reactor behavior of the pellet and thus make it more suitable for the next generation reactors, providing attributes that may be amenable to so called accident tolerant fuels, (“ATF”). US 2008/031398 discloses a plurality fuel rods containing different compositions of fuel elements with actinide fuel only, and fuel elements with actinide fuel and boron compounds, for instance UB2. The purpose of the boron compounds is to absorb neutrons, and thus the boron may be natural or enriched to increase the concentration of the isotope 10B. EP 1 647 993 discloses a fuel assembly comprising at least one fuel pellet with a sintered mixture of actinide fuel and a boron-containing compound, for instance UB2. The boron may be natural boron or enriched boron with a higher concentration of the isotope 10B. Brown et. al. “Neutronic performance of uranium nitride composite fuels in a PWR”, refers to an investigation of possible future nuclear fuels. One of the fuels is the composite fuel UN/UB4. The boron content of UB4 is 100% enriched in 11B. An object of the present invention is to provide an alternative nuclear fuel. In particular, it is aimed at a nuclear fuel that would meet the requirements of so called accident tolerant fuels, ATF. This object is achieved by the nuclear fuel pellet initially defined, which is characterized in that the boron of the UB2 is enriched to contain a concentration of the isotope 11B that is higher than for natural B. The boron isotope 11B has a very small neutron cross section, i.e. small absorption of neutrons. The neutron cross section of the boron isotope 11B is 0.0055 barns compared to 3835 barns for the boron isotope 10B and 767 barns for natural boron. The nuclear fuel pellet comprising or consisting fissile material of UB2 enriched in such a way may thus ensure a high efficiency since a higher percentage of the neutrons may be used in the fission process. UB2 is a ceramic with a melting point of >2400° C., and therefore considered to be an ultra-high temperature ceramic, UTHC. The nuclear fuel pellet comprising or consisting of a fissile material of UB2 may thus withstand very high temperatures, which makes it suitable as an accident tolerant fuel, especially when coupled with its superior thermal conductivity compared to UO2. UB2 has a density of approximately 12.74 g/cm3 and a uranium density of 11.66 g/cm3. The nuclear fuel pellet comprising or consisting of UB2 may thus ensure a high efficiency of the fission process. This is a significant improvement compared to UO2 which has a density of approximately 10.9 g/cm3 and a uranium density of 9.7 g/cm3. UB2 is relatively stable in contact with water and the reaction of UB2 with water does not proceed rapidly, at least not until above 600° C. in water and 400° C. in air, without dopants. Swelling of UB2 during operation is moderate. The nuclear fuel pellet comprising or consisting of UB2 may thus be suitable to be contained in an unconventional cladding tube of for instance a silicon carbide structure proposed as a cladding for accident tolerant fuels. According to an embodiment of the invention, the concentration of the isotope 11B is at least 85% by weight. The natural concentration of the isotope 11B is approximately 80% by weight. According to an embodiment of the invention, the concentration of the isotope 11B is at least 90% by weight. According to an embodiment of the invention, the concentration of the isotope 11B is at least 95% by weight. According to an embodiment of the invention, the concentration of the isotope 11B is approximately 100% by weight. According to an embodiment of the invention, the nuclear fuel pellet consists of UB2. The technical advantages discussed above will be achieved with a nuclear fuel pellet comprising UB2 as the sole component. According to an embodiment of the invention, the nuclear fuel pellet comprises a second fissile material. The technical advantages discussed above may also be achieved with a nuclear fuel pellet comprising a second fissile material in addition to the first fissile material of UB2. The concentration of the second fissile material may vary depending on the position of the nuclear fuel pellet in the fuel rod. For instance, the concentration of the second fissile material may be at least 99.9%, at least 90%, at least 80%, at least 70%, at least 60%, at least 50% or 40% by volume. Furthermore, the concentration of the second fissile material may be at most 40%, at most 30%, at most 20%, at most 10% or at most 5% by volume. According to an embodiment of the invention, the at least one second fissile material comprises one of an actinide nitride, an actinide silicide and an actinide oxide. According to an embodiment of the invention, the at least one second fissile material comprises one of UN, U3Si2, UO2, U3Si, USi, PuN, Pu3Si2, PuO2, Pu3Si, PuSi, ThN, Th3Si2, ThO2, Th3Si and ThSi. According to an embodiment of the invention, the at least one second fissile material comprises UBx, and wherein x is larger than 2, for instance UB4. This embodiment creates a possibility to tailor the neutron absorbing capability by adjusting the boron content of the nuclear fuel pellet without varying the boron enrichment within the nuclear fuel pellet or the fuel rod. According to an embodiment of the invention, the first fissile material and the at least one second fissile material are mixed in the nuclear fuel pellet. According to an embodiment of the invention, the nuclear fuel pellet is a sintered nuclear fuel pellet. The object is also achieved by the initially defined fuel rod comprising a cladding tube and a plurality of nuclear fuel pellets according to any one of the embodiments defined above. According to an embodiment of the invention, the fuel rod comprises a plurality of absorbing pellets comprising UB2, in which the boron of the UB2 has a concentration of the isotope 10B that is higher than in the UB2 of the first fissile material of the nuclear fuel pellets. The fuel rod may thus comprise both nuclear fuel pellets and absorbing pellets. The absorbing pellets may be tailored to act as a burnable poison in the fuel rod, for improving the economy and the utilization of the fuel in the nuclear reactor. According to an embodiment of the invention, the concentration of the isotope 10B in the UB2 of the absorbing pellets is at least 25, 30, 40, 50, 60, 70, 80, 90 or 100% by weight. The object is also achieved by the initially defined fuel assembly comprising a plurality of fuel rods as defined above. The fuel assembly may comprise fuel rods comprising nuclear fuel pellets, and fuel rods comprising absorbing pellets. FIG. 1 discloses a fuel assembly 1 configured to be introduced into a core of a nuclear reactor, in particular in a water cooled light water reactors, LWR, such as a Boiling Water Reactor, BWR, or a Pressurized Water reactor, PWR. The core may comprise or consist of high burnup areas and low burnup areas. The fuel assembly 1 comprises a bottom member 2, a top member 3 and a plurality of elongated fuel rods 4 extending between the bottom member 2 and the top member 3. The fuel rods 4 are maintained in their positions by means of a plurality of spacers 5. Furthermore, the fuel assembly 1 may, for instance when to be used in a BWR, comprise a flow channel or fuel box indicated by dashed lines 6 and surrounding the fuel rods 4. FIG. 2 discloses one of the fuel rods 4 of the fuel assembly 1 of FIG. 1. The fuel rod 4 comprises a nuclear fuel in the form of a plurality of nuclear fuel pellets 10, and a cladding tube 11 enclosing the nuclear fuel pellets 10. The fuel rod 4 comprises a bottom plug 12 sealing a lower end of the cladding tube 11, and a top plug 13 sealing an upper end of the fuel rod 4. The nuclear fuel pellets 10 are arranged in a pile in the cladding tube 11. The cladding tube 11 thus encloses the fuel pellets 10 and a gas. A spring 14 is arranged in an upper plenum 15 between the pile of nuclear fuel pellets 10 and the top plug 13. The spring 14 compresses the pile of nuclear fuel pellets 10 against the bottom plug 12. A first embodiment of one of the nuclear fuel pellets 10 is disclosed in FIG. 3. The nuclear fuel pellet 10 is a ceramic nuclear fuel pellet 10 and comprises, or consists of, a first fissile material of UB2. A second embodiment of one of the nuclear fuel pellets 10 is disclosed in FIG. 4, according to which the ceramic nuclear fuel pellet 10, in addition to the first fissile material 20, may comprise at least one second fissile material 21. The concentration of the second fissile material 21 may vary depending on the position of the nuclear fuel pellet in the fuel rod, or in the fuel assembly. In low burnup areas, for instance in the lower region of the core of the nuclear reactor, the concentration of the second fissile material may be relatively high and in high burnup areas of the core, where the temperature is expected to be higher, the concentration of the second fissile material may be relatively low. For instance, the concentration of the second fissile material may be 99.9%, at least 90%, at least 80%, at least 70%, at least 60%, at least 50% or at least 40% by volume in low burnup areas. In high burnup areas, the concentration of the second fissile material 21 may be at most 40%, at most 30%, at most 20%, at most 10% or at most 5% by volume. At least in high burnup areas, the first fissile material 20 may thus constitute the main fissile material, and the second fissile material 21 the minor fissile material. The at least one second fissile material 21 comprises one of an actinide nitride, an actinide silicide and an actinide oxide. In the embodiments disclosed, the second fissile material 21 comprises no boron. For instance, the at least one second fissile material 21 may comprise one of UN, U3Si2, UO2, U3Si, USi, PuN, Pu3Si2, PuO2, Pu3Si, PuSi, ThN, Th3Si2, ThO2, Th3Si and ThSi. Furthermore, the at least one second fissile material 21 may comprise UBx, wherein x is larger than 2, for instance UB4. Such a UBx compound offers a possibility to increase the neutron absorbing capability of all or some of the nuclear fuel pellets 10 in the fuel rod 4. The first fissile material 20 and the at least one second fissile material 21, or second fissile materials 21, may be intimately mixed in the nuclear fuel pellet 10. In the nuclear fuel pellet 10 of the first and second embodiments, the boron of the UB2 is enriched to contain a concentration of the isotope 11B that is higher than for natural B. The concentration of the isotope 11B may be at least 85% by weight, preferably at least 90% by weight, more preferably at least 95% by weight or most preferably approximately 100%, or 100%, by weight. These concentrations constitute an enrichment compared to the natural concentration of the isotope 11B, which is approximately 80% by weight. The ceramic nuclear fuel pellet 10 may be a sintered nuclear fuel pellet. A powder of the first fissile material, and possible a powder of the second fissile material or materials, may be mixed to form a mixture. The mixture is compressed to a green body, which is then sintered in suitable oven to the nuclear fuel pellet 10. The nuclear fuel pellet 10 may also as an alternative be manufactured in other ways, for instance through casting or extrusion. FIG. 5 discloses another fuel rod 4, which differs from the fuel rod 4 in FIG. 2 in that it in addition to the nuclear fuel pellets 10 also comprises a plurality of absorbing pellets 17, for instance 20, 40, 60, 80 or 100% absorbing pellets 17, the remaining pellets being nuclear fuel pellets 10. Also the absorbing pellets 17 comprises UB2. However, in the absorbing pellets 17, the boron of the UB2 has a concentration of the isotope 10B that is higher than in the UB2 of the first fissile material of the nuclear pellets 10. The concentration of the isotope 10B in the UB2 of the absorbing pellets 17 may be at least 25, 30, 40, 50, 60, 70, 80, 90 or 100% by weight. The absorbing pellets 17 may also comprise UB2 as a first fissile material and possibly at least one second fissile material as described above. The present invention is not limited to the embodiments disclosed and described herein, but may be varied and modified within the scope of the following claims.
claims
1. Fuel elements gripping device comprising:a main carriage oriented along a longitudinal axis,a clamp coupled to the main carriage and adapted to grip a head of fuel elements,a secondary carriage oriented along the longitudinal axis and comprising attachment means for attaching the secondary carriage to a lifting/pushing chain, wherein the main carriage and the secondary carriage are coupled to each other by force transmission means, said force transmission means transmitting forces applied to the attachment means when the device is lifted and when the device is pushed horizontally, the force transmission means forming a dismountable connection between the main carriage and the secondary carriage, wherein the main carriage and the secondary carriage are adapted to be uncoupled from each other in a blocked position of the secondary carriage in which the secondary carriage is attached at its attachment means to the lifting/pushing chain, by dismounting the dismountable connection of formed by the force transmission means between the carriages, the connection being dismounted by only applying a pulling force and a pushing force to the main carriage, the pulling force being applied towards the secondary carriage. 2. Gripping device according to claim 1, in which recoupling between the main carriage and the secondary carriage is done, in a blocked position of the secondary carriage in which the secondary carriage is attached at its attachment means to the lifting/pushing chain, by reassembling the connection formed by the force transmission means between the carriages, the connection being reassembled by only applying a pulling force and a pushing force to the main carriage, the pulling force being applied towards the secondary carriage. 3. Gripping device according to claim 1, in which the force transmission means forming a dismountable connection between the main carriage and the secondary carriage comprise:a first key, mounted on the main carriage, the first key being tippable transverse to the longitudinal axis and being in abutment against the secondary carriage to enable forces applied to the attachment means to be transmitted when the pushing force is applied,a second key, mounted on the main carriage, pivotable orthogonally to the longitudinal axis and being in abutment against the secondary carriage to enable forces applied to the attachment means to be transmitted during lifting;wherein the pulling force applied to the main carriage enables tipping of the first key, the relative movement of the main carriage towards the secondary carriage and then pivoting of the second key, until the first tipped key and the second pivoted key each remaining in a position free from abutment with the secondary carriage. 4. Gripping device according to claim 1, in which the main carriage comprises two rigid parts connected to each other by a flexible connection, in which:one rigid part is coupled with the clamp and comprises an electronic weigh-scale to electrically test tension or compression forces applied to said rigid part supporting the clamp;the other rigid part comprises a so-called head carriage which is coupled with the secondary carriage in an assembled state of the fuel elements gripping device, the other rigid part also comprising a gripping socket to which the pulling force and the pushing force for uncoupling the dismountable connection are applied. 5. Gripping device according to claim 1, in which the main carriage comprises:an electric motor,a main carriage body,a hollow shaft mounted in translation on the main carriage body, one of hollow shaft ends being fitted with a screw/nut type drive engaged with the electric motor, and the other of the hollow shaft ends bearing on part of the clamp gripping arms, actuation of the electric motor causing translation of the shaft in one direction and simultaneously moving the gripping arms of the clamp towards or away from each other by tipping orthogonally to the axis. 6. Gripping device according to claim 5, comprising a coupling lever fixed to the main carriage and arranged to form a lever arm to translate the hollow shaft and uncouple it from the clamp, the lever arm being adapted so that it can be manipulated by remote operated means. 7. Gripping device according to claim 6, in whichthe main carriage comprises pins mounted free to tip orthogonally to the longitudinal axis;the clamp body comprises a wall with steps;the hollow shaft comprises relief fixed to its periphery; coupling between the clamp and the shaft being made by the relief tipping the pins and by the tipped pins bearing in contact with the steps in the clamp body. 8. Gripping device according to claim 7, comprising, a peg forming locking means for coupling the main carriage body and the clamp, a the peg being inserted inside the wall of the clamp body transverse to the longitudinal axis of the main carriage body to block one in translation relative to the other, the peg being designed so that it can be removed by remote-operated means. 9. Gripping device according to claim 7, in which:the hollow shaft comprises a projection that extends transverse to the axis forming a shaft flag,the main carriage comprises a first and a second position detector each arranged so as to be facing the flag in a respective given translated position of the hollow shaft to electrically detect a respective given translated position of the relief and therefore detect whether or not the pins are tipped. 10. Gripping device according to claim 9, in which:the clamp body comprises a projection that extends transverse to the axis forming a clamp flag,the main carriage comprises a third position detector arranged to be facing the clamp flag when the clamp is coupled with the main carriage, and thus arranged to electrically detect the presence of the clamp. 11. Gripping device according to claim 5, in which:the clamp comprises a body on which the gripping arms are installed free to tilt and on which a clamp rod is installed free to slide along the axis between the arms,the hollow shaft comprises a rod fixed inside it, the rod of the hollow shaft bearing in contact with the clamp rod, the length of the clamp rod being such that in the near or the far extreme position of the clamp gripping arms corresponding to the gripping position of the fuel element head, there is a direct contact between the fuel element head and the clamp rod. 12. Gripping device according to claim 11, in which:the hollow shaft comprises a projection that extends transverse to the axis forming a shaft flag,the main carriage comprises a first position detector arranged so as to be facing the flag so that it electrically detects direct contact between the fuel elements head and the clamp rod. 13. Fuel elements handling system comprising:a tipping crane comprising a drum in which carriages of the fuel elements gripping device are installed, having:a main carriage oriented along a longitudinal axis,a clamp coupled to the main carriage and adapted to grip a head of fuel elements,a secondary carriage oriented along the longitudinal axis and comprising attachment means for attaching the secondary carriage to a lifting/pushing chain, wherein the main carriage and the secondary carriage are coupled to each other by force transmission means, said force transmission means transmitting forces applied to the attachment means when the device is lifted and when the device is pushed horizontally, the force transmission means forming a dismountable connection between the main carriage and the secondary carriage, wherein the main carriage and the secondary carriage are adapted to be uncoupled from each other in a blocked position of the secondary carriage in which the secondary carriage is attached at its attachment means to the lifting/pushing chain, by dismounting the dismountable connection formed by the force transmission means between the carriages, the connection being dismounted by only applying a pulling force and a pushing force to the main carriage, the pulling force being applied towards the secondary carriage;a handling chain comprising a lifting/pushing chain, wherein part of the lifting/pushing chain is fixed to the attachment means of the secondary carriage of the gripping device, the lifting/pushing chain being adapted to roll the fuel elements gripping device out of the tipping crane drum, when the fuel elements gripping device is in an horizontal position. 14. A method for handling fuel elements with a fuel elements gripping device, wherein if a failure occurs during a handling operation:part of the fuel elements gripping device is attached to mechanical intervention handling means,part of the fuel elements gripping device attached to the mechanical intervention means is uncoupled from the remaining of the fuel elements gripping device attached to a mechanical operational handling means using remote-operated means,said fuel elements gripping device comprising:a main carriage oriented along a longitudinal axis,a clamp coupled to the main carriage and adapted to grip a head of fuel elements,a secondary carriage oriented along the longitudinal axis and comprising attachment means for attaching the secondary carriage to a lifting/pushing chain, wherein the main carriage and the secondary carriage are coupled to each other by force transmission means, said force transmission means transmitting forces applied to the attachment means when the device is lifted and when the device is pushed horizontally, the force transmission means forming a dismountable connection between the main carriage and the secondary carriage, wherein the main carriage and the secondary carriage are adapted to be uncoupled from each other in a blocked position of the secondary carriage in which the secondary carriage is attached at its attachment means to the lifting/pushing chain, by dismounting the dismountable connection formed by the force transmission means between the carriages, the connection being dismounted by only applying a pulling force and a pushing force to the main carriage,the pulling force being applied towards the secondary carriage. 15. Handling method according to claim 14, in which the handling operation is either lifting, or horizontal tipping or horizontal pushing. 16. Method according to claim 14, according to which the actuation of the remote-operated means advantageously applies a pulling force and a pushing force to the main carriage for separating the part of the fuel elements gripping device attached to the mechanical intervention means from the remaining of the fuel elements gripping device.
summary
046559990
description
PREFERRED EMBODIMENTS OF THE INVENTION Before explaining the embodiments of the present invention, the typical neutron radiation amount distribution of a cruciform control rod for use in a boiling water reactor will be described with reference to FIG. 1. In FIG. 1, the neutron radiation amount distribution is illustrated for one of the four wings of the cruciform control rod. The neutron radiation amount decreases gradually from the top end toward the bottom end of the control rod, and in the top end part, it is large at the center of the control rod and the outermost end of the wing. In particular, the neutron radiation amount at the wing outermost end in the top end part of the control rod is large. Since, as stated before, the decrease of .sup.10 B at the control rod top end of the large neutron radiation amount is fast, the lifetime of the control rod is determined by the reactivity degradation of the top end part of the control rod. Next, one embodiment of the control rod for a nuclear reactor according to the present invention will be described with reference to FIGS. 2 and 3. In FIG. 2, a cruciform control rod 1 is cruciform in cross section, and it is composed of a neutron absorbing rod-receiving portion 3, an upper supportion portion 5 which overlies the portion 3, and a lower supporting portion 7 which underlies the portion 3. The neutron absorbing rod-receiving portion 3 is made up of four control blades or wings which are fixed to a central supporting member 9. As shown in FIG. 3, each of the control blades is constructed of a control rod sheath 11 which is mounted on the central supporting member 9 made of stainless steel, twenty-one neutron absorbing rods 13 which are arranged in a row inside the control rod sheath 11, and a pair of members 19 which are made of a second neutron absorber and which are respectively inserted between the control rod sheath 11 and the row of the neutron absorbing rods. The neutron absorbing rod 13 consists of a clad tube 15 of stainless steel, and boron carbide powder 17 packed in the clad tube, and it forms a principal neutron absorber. The member of the second neutron absorber 19 is a hafnium sheet which has a thickness of 0.3 mm, a width substantially equal to that of the neutron absorbing rod row, and a length substantially equal to that of the neutron absorbing rod row. The sheets 19 are carried along with the neutron absorbing rods 13 by the supporting plate of the lower supporting portion 7 so as not to be subjected to any external mechanical force. The upper supporting portion 5 is formed of an upper supporting member with a handle, and it is fixed to the sheath 11 and the central supporting member 9 after the neutron absorbing rods 13 and the hafnium sheets 19 have been inserted. The hafnium sheets 19 can be readily inserted into and drawn out of the control rod sheath by detaching the upper supporting member 5. Shown in FIG. 4 is a modification of the control rod for a nuclear reactor in FIG. 3. In this modified embodiment, the neutron absorbing rod located at the wing outermost end of the largest neutron radiation amount is a rod 21 which is made of hafnium. The others are quite the same as in the embodiment of FIGS. 2 and 3. That is, the same neutron absorbing rods 13 as in the foregoing, but numbering twenty, and one hafnium rod 21 at the wing outermost and are inserted in the control rod sheath 11 which is fixed to the central supporting member 9, and one pair of hafnium sheets 19 each of which is 0.3 mm thick are inserted between the neutron absorbing rods 13, 21 and the sheath 11. FIG. 5 shows a modification 19a of the hafnium sheet 19 in the embodiment of FIGS. 2 and 3 or the embodiment of FIG. 4, along with a mounting example thereof. The hafnium sheet 19a is mounted on an upper supporting member 5 unitary with a handle 6, by such joining means 23 as screws. The hafnium sheet of 19a is in the shape of a rectangle whose length is about 1/4 of the effective length of the neutron absorbing rod. However, the shape of the hafnium sheet need not always be rectangular, but it can be put into a shape which covers parts of large neutron radiation amounts as shown in FIG. 6 in accordance with the neutron radiation amount distribution in FIG. 1. The upper end of this hafnium sheet 19b is fixed to the upper supporting member 5 by the joining means 23, while the lower end conforms with the distribution of the neutron radiation amounts. That is, the hafnium sheet 19b is so shaped that its parts corresponding to the central part of the control rod and the outermost end of the wing are long, whereas its part between them is short. The longest part has the length of about 1/4 of that of the neutron absorbing rod. FIG. 7 shows the relative absorption rate of the neutron absorbing rod owing to the hafnium sheet 19, 19a or 19b described above. When a sheet being 0.3 mm thick is used as the hafnium sheet, the neutron flux within the neutron absorbing rod decreases by about 20%. Accordingly, the degradation of the neutron absorbing substance in the neutron absorbing rod equalizes so that of the prior-art control rod having no hafnium sheet with a neutron radiation amount which is about 1.2 times larger than the neutron radiation amount that the prior-art control rod undergoes till arrival at its lifetime. That is, under the same irradiation condition, the lifetime of the control rod of the present invention becomes about 1.2 times longer than that of the prior-art control rod. The weight of one hafnium sheet shown in FIG. 5 is about 370 gr., and that of the same shown in FIG. 6 is lighter. The hafnium sheets have slight influence on the weight of the control rods because one hafnium sheet is light even when compared with one neutron absorbing rod of hafnium which is about 870 gr. in weight. FIG. 8 shows an embodiment of the control rod for a nuclear reactor according to the present invention wherein the inner side of a control rod sheath 11 is lined with a neutron absorber. The neutron absorber 25 may be, for example, an alloy which contains amorphous boron or cadmium. When the inner side of the control rod sheath is lined with the neutron absorber, there is the merit that the neutron absorber can be distributed in the control rod as is necessary as illustrated in FIG. 9. By way of example, a structure can be formed wherein neutron absorbing plates 25a of comparatively small width are arranged in the inner end part of the wing and a neutron absorbing plate 25b of comparatively great width in the outer end part of the wing, with no neutron absorber disposed between them. FIG. 10 is a view which shows another embodiment of the control rod for a nuclear reactor according to the present invention. In the present embodiment, a hafnium sheet has its thickness varied in steps so as to be thicker in the end parts of the control rod wing and to be thinner in the central part thereof and has a U-shape. Excepting this hafnium sheet 19c, the embodiment is the same as that of FIG. 4. When, in this manner, the thickness of the hafnium sheet is varied in the wing direction as indicated at A in FIG. 11, the neutron absorption rates of the neutron absorbing rods are flattened as illustrated at B in FIG. 11. When such hafnium sheet is employed and the absorbing rod at the wing outermost end is rendered the hafnium rod, the control rod lifetime becomes about 1.3 times that of the control rod in which all the neutron absorbing rods are the B.sub.4 C rods. In addition, while the quantity of use of hafnium in the present embodiment is equal to that in the control rod in which the hafnium sheet having a constant thickness of about 0.2 mm is arranged, the control rod lifetime is prolonged by 5% by distributing the hafnium sheet thickness as in the present embodiment. Thus, the prolongation of the control rod lifetime can be effectively realized by varying the quantity of hafnium being the second absorber along the control rod wing. While, in the present embodiment, the hafnium sheet thickness has been varied as the steps, similar effects are produced even when it is varied continuously. FIG. 12 shows another embodiment of the control rod for a nuclear reactor according to the present invention wherein the outer surface of a cladding is coated with a neutron absorber. A neutron absorbing rod 27 is composed of the boron carbide 17 which is a neutron absorbing substance, and the cladding 15a the outer surface of which is coated with the neutron absorber 29. The neutron absorbing rods 27 are arranged in a number of one in the central part of the control rod and in a number of four in the outermost end part of the wing, while the boron carbide-containing neutron absorbing rods 13 as in the foregoing are arranged between both the parts. The neutron absorber 29 in the present embodiment delays the degradation of the neutron absorbing substance, and also takes partial charge of the cladding. The boron carbide encloses helium produced by the (n, .alpha.) reaction of .sup.10 B, to swell and to incur the mechancial interaction with the cladding. A stress to act on the cladding increases with the proceeding of the burn-up of the .sup.10 B, but the strength of the cladding can be enhanced by the application of the neutron absorber. Even in a case where the cladding has cracked due to the mechanical interaction, the outflow of the boron carbide through the crack can be hindered to prevent the lowering of the control rod lifetime attendant upon the outflow of the boron carbide. In a case where the quantity of .sup.10 B in the neutron absorbing rod is increased by enrichment or increase in the density, the neutron absorption rate rises, and the quantity of helium to be produced increases. The cladding is therefore required to have a higher strength. In this regard, when the cladding coated with the neutron absorber is employed, the neutron flux in the neutron absorbing rod lowers, so that the production of the helium can be suppressed to reduce the stress on the cladding. In addition, since the strength of the cladding can be increased as stated above, a control rod having a .sup.10 B quantity distribution as shown in FIG. 13 can be constructed besides the arrayal of the neutron absorbing rods 13, 27 as described above. In the control rod shown in FIG. 13, the neutron absorbing rods in which .sup.10 B degrades fast has the quantity of .sup.10 B increased, and hence, the control rod lifetime prolongs. An embodiment of the present invention for raising the reactivity worth of a control rod having a low reactivity worth will be described with reference to FIGS. 14 and 15. The control rod of this embodiment is such that neutron absorbing rods are hafnium rods 21 and that sheets 19d of boron-containing stainless steel are inserted in the clearances between a control rod sheath 11 and the hafnium rods. FIG. 15 shows a method of mounting the sheet in the control rod of the present embodiment. The sheet is fixed to a lower supporting plate 7 and an upper supporting plate 5 and is fitted over the full length of the control rod. The control rod composed of the hafnium rods is about 1% lower in the control rod reactivity worth than the control rod employing boron carbide, but it can be endowed with a reactivity worth equal to that of the control rod employing boron carbide by the present invention described above. Moreover, the sheets can be taken out of the control rod by detaching the upper supporting plate 5 unitary with a handle 6, so as to be replaced with new ones every fixed period (the inserting and drawing-out structure is the same as that of the embodiment in FIG. 3). Thus, when the control rod reactivity is compensated by such method, the expensive hafnium can be used for a long term. As thus far described, when the present invention is applied to a control rod employing boron carbide, the prolongation of the lifetime of the control rod can be achieved without spoiling the merits of low price and light weight. Further, when the invention is applied to a control rod of small reactivity worth, the reactivity can be supplemented without greatly increasing the weight of the control rod, and when the invention is applied to a hybrid control rod containing boron carbide and hafnium, the effective utilization of the expensive hafnium is permitted.
059986891
claims
1. A method for recycling metal parts contaminated by radioactive elements, which comprises: oxidizing radioactive elements which form non-volatile oxides by holding contaminated metal parts in an oxygen-containing atmosphere for a period at a temperature below a melting temperature of the metal parts; forming a melt and a slag from the metal parts after oxidizing the radioactive elements; and then separating the slag from the melt. oxidizing radioactive elements which form non-volatile oxides by holding metal parts contaminated by .alpha.-emitters in an oxygen-containing atmosphere for a period at a temperature below a melting temperature of the metal parts; forming a melt and a slag from the metal parts after oxidizing the radioactive elements; and then separating the slag from the melt. 2. The method according to claim 1, which comprises carrying out the oxidizing step in dry air as the oxygen-containing atmosphere. 3. The method according to claim 1, which comprises carrying out the oxidizing step in moist air as the oxygen-containing atmosphere. 4. The method according to claim 1, which comprises setting the period to be between 10 minutes and 60 minutes long. 5. A method for recycling metal parts contaminated by .alpha.-emitters, which comprises:
description
This application claims priority to Chinese Patent Application No. 201310742070.X, filed on Dec. 30, 2013, entitled “High Energy X-ray Fluoroscopic Imaging System with Double Energies/Double Viewing Angles” by inventors Huaping Tang, Zhiqiang Chen, Chuanxiang Tang, Huaibi Chen, Yuanjing Li, Ziran Zhao, Yaohong Liu, Shangmin Sun, Xinshui Yan and Zhanfeng Qin, which is commonly assigned and incorporated by reference herein for all purposes. Field of the Invention The present invention relates to a system that performs fluoroscopic imaging on an inspected object from two or more different angles by using an X-ray with two or more different energies respectively, in particular, to a system that performs fluoroscopic imaging by using a high energy X-ray generated by a high energy electron accelerator in nondestructive flaw detection, fluoroscopic imaging, as well as security inspection apparatuses used for large scale container inspection, vehicle inspection, air cargo inspection, train inspection etc. Description of the Related Art X-ray is widely used in fields such as industrial nondestructive detection, security inspection etc. For large scale inspected objects, for example, boilers, airspace engines, bulk goods at airports/railways/customs, whole goods of cars/trucks/containers/trains etc., a high energy X-ray, which is generally generated by using an electron accelerator with an energy higher than 2 MeV, is required to be used for their fluoroscopic inspection. The basic method for an electron accelerator to generate an X-ray is as follows: generating an electron beam with an electron gun, accelerating the electron beam with an electric field so that it obtains a high energy, and generating an X-ray by bombarding a target with the high energy electron beam. A high energy X-ray fluoroscopic imaging system utilizes the high-penetration ability of an X-ray. When an X-ray penetrates an inspected object, its intensity will reduce, and the degree of reduction is related to the density, shape, thickness, substance material and the like of the inspected object. The intensity information of the X-ray after penetrating the inspected object is obtained with a detector, and is subjected to processes such as signal processing, algorithm analysis, image reconstruction and the like so as to obtain a fluoroscopic image reflecting the information such as the shape, structure and even the substance material of the inspected object, thereby goals such as structure analysis, flaw inspection, name and type inspection of goods, dangerous goods recognition, prohibited goods inspection and the like are achieved. Chinese Patent “Method and System for Scanning Radiation Imaging with Double Viewing Angles (Patent No. CN101210895)” discloses a specific method in which two X-ray beams are generated by using one radiation source to perform fluoroscopic imaging on an inspected object and a multi-level image is constructed. This is a low-cost, convenient, and fast deep-recognition method. Furthermore, Chinese Patent “Multiple Energy Double Frequency Particle Accelerator and Method thereof (Patent No. CN101163372)” discloses a technology that utilizes an electron accelerator to generate electron beams and X-rays with a number of different energies at different time; and Chinese Patents “Apparatus and Method for Generating an X-ray with Different Energies and Material Recognition System (Patent No. CN101076218)”, “Method for Radiation Scanning Substance with Multiple Energies and Apparatus thereof (Patent No. CN1995993)”, “Method and Apparatus for Substance Recognition (Patent No. CN101435783)” and the like disclose a method in which an inspected object is subjected to fluoroscopic imaging with high energy X-ray and low energy X-ray so as to obtain a fluoroscopic image and materiel information of the inspected object. Moreover, Chinese Patent “Method and System for Materiel Recognition with Double-Viewing-Angle Multiple-Energy Fluoroscopic Image (Patent No. CN101358936)” discloses a method in which symmetric X-ray beams (with symmetric positions, identical energies, identical intensities etc.) are obtained by two collimators using a multiple energy electron accelerator as the radiation source, thereby a fluoroscopic image with double viewing angles and multiple energies is achieved. This is a combination of the above two types of patent technologies. Here, the multiple energy electron accelerator refers to an accelerator that can output X-rays with different energies at different time, such as the technologies disclosed in Chinese Patents CN101163372 and CN101076218. Although there are two collimators, the X-rays obtained by the two collimators are of the same characteristics. To deal with the drawbacks of existing technologies, the present invention obtains two X-ray beams with different characteristics (including different energies, different intensities and different viewing angles) respectively by two collimators at different locations using a low-cost single energy electron accelerator as the radiation source (since the two X-ray beams are generated simultaneously and have different energies, X-ray beams with double energies can be obtained by only a single energy accelerator; and the two X-ray beams both have very good in-plane uniformity), thereby achieves fluoroscopic imaging with double energies/double viewing angles. The present invention has one or more of the advantages such as low cost, multiple functions, good image quality and the like compared with existing technologies. The present invention provides an X-ray fluoroscopic imaging system, comprising: an inspection passage through which an inspected object is passed; an electron accelerator comprising an electron accelerating unit, an electron emitting unit and a target, the electron beam coming from the electron emitting unit and accelerated by the electron accelerating unit bombarding the target to generate an X-ray; a shielding collimator apparatus comprising a shielding structure, and a first collimator for extracting a low energy planar sector X-ray beam and a second collimator for extracting a high energy planar sector X-ray beam which are disposed within the shielding structure; a low energy detector array for receiving the X-ray beam from the first collimator; a high energy detector array for receiving the X-ray beam from the second collimator; wherein the shielding structure surrounds the target; wherein the first collimator, the low energy detector array and the target point bombarded by the electron beam are located in a first plane; and wherein the second collimator, the high energy detector array and the target point bombarded by the electron beam are located in a second plane. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the angles between the directions in which the first and/or second collimators are disposed and the electron beam bombarding the target are 30° to 150°. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the angle between the axis of the electron accelerator and the inspection passage is less than 60°. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the first and second collimators are located on the same side of the axis (L) of the electron beam. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the axis of the electron accelerator is parallel to the inspection passage. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the angle between the central symmetric line of the first and second collimators and the inspection passage is larger than 45°. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the central symmetric line of the first and second collimators is perpendicular to the inspection passage. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the low and high energy detector arrays are in a linear type arrangement, a segmented linear arrangement, a standard L type arrangement or a C type arrangement, and are constituted by a plurality of low and high energy detectors respectively. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the low and high energy detector arrays are a plurality of detectors arranged in one row or a plurality of rows respectively. Moreover, the X-ray fluoroscopic imaging system of the present invention further comprises a signal analyzing and image processing subsystem (7) for receiving signals from the low and high energy detector arrays and generating a fluoroscopic image finally by computation and analysis; and a power supply and control subsystem (6) for providing power and control to the operation of the X-ray fluoroscopic imaging system. Moreover, the X-ray fluoroscopic imaging system of the present invention further comprises a detector arm support for the mounting and fixation of detectors, wherein the detector arm support is formed into an arrangement structure of linear type, segmented linear type, standard L type or C type. Moreover, the X-ray fluoroscopic imaging system of the present invention further comprises an adjustable fixing apparatus for fixing the detector arm support on the ground independently. Moreover, the X-ray fluoroscopic imaging system of the present invention further comprises any combination of the followings: a conveying apparatus for dragging the inspected object to pass through the inspection passage at a given speed; a scatter shielding structure disposed on one side or both sides of the inspection passage; an equipment room for the mounting and fixation of apparatuses such as the electron accelerator and the like; a control room for providing an equipment operation and working place to the working staffs of the system; and a ramp for increasing the height of the inspected object. Moreover, the X-ray fluoroscopic imaging system of the present invention further comprises a plurality of collimators and a plurality of corresponding detector arrays. Moreover, in the X-ray fluoroscopic imaging system of the present invention, the electron accelerator is a single energy accelerator, a double energy accelerator or a multiple energy accelerator, and the detector arrays are single energy detector arrays, double energy detector arrays or multiple energy detector arrays correspondingly. Moreover, the present invention provides a combined and fixed type X-ray fluoroscopic imaging system, comprising: the X-ray fluoroscopic imaging system according to the present invention; an equipment room fixed to the ground on one side of the inspection passage and having the electron accelerator and the shielding collimator apparatus mounted therein, the first and second collimators facing the inspection passage at different angles; a conveying apparatus mounted in the inspection passage; a first and second detector arm supports disposed on the other side of the inspection passage, fixed to the ground by an adjustable fixing apparatus, and having the low and high energy detector arrays mounted therein respectively; a scatter shielding structure disposed between the equipment room and the inspection passage; and a control room fixed to the ground, having the signal analyzing and image processing subsystem as well as the power supply and control subsystem mounted therein, and controlling the combined and fixed type X-ray fluoroscopic imaging system. Moreover, the present invention provides a track moving type X-ray fluoroscopic imaging system, comprising: the X-ray fluoroscopic imaging system according to the present invention; a plurality of tracks disposed in parallel, the inspection passage being disposed between two adjacent tracks; a moving apparatus disposed on the tracks; an equipment room disposed on the tracks on one side of the inspection passage via the moving apparatus and having the electron accelerator and the shielding collimator apparatus mounted therein, the first and second collimators facing the inspection passage at different angles; two L type detector arm supports, the “|” segment bottoms thereof being disposed on the tracks on the other side of the inspection passage via the moving apparatus, the other ends being connected and fixed to the top of the equipment room, and the low and high energy detector arrays being mounted therein respectively; and a control room fixed to the ground, having the signal analyzing and image processing subsystem as well as the power supply and control subsystem mounted therein, and controlling the track moving type X-ray fluoroscopic imaging system. Moreover, the present invention provides a vehicle-mounted moving type X-ray fluoroscopic imaging system, comprising: the X-ray fluoroscopic imaging system according to the present invention; and a chassis vehicle, and an X-ray source cabin, an equipment cabin, a working cabin, a low energy detector arm support system and a high energy detector arm support system mounted on the chassis vehicle; wherein the electron accelerator and the shielding collimator apparatus are mounted in the X-ray source cabin, and low and high energy X-ray beams are extracted to one side of the chassis vehicle at different angles through the first and second collimators respectively; wherein the low energy detector arm support system has the low energy detector array mounted therein, and in a working state, the low energy detector arm support system is opened on one side of the chassis vehicle, forms a “gate” type structure with the chassis vehicle, and makes the low energy detector array locate in the first plane in which the first collimator situates, and in a non-working state, the low energy detector arm support system is folded and stored on the top of the chassis vehicle; wherein the high energy detector arm support system has the high energy detector array mounted therein, and in a working state, the high energy detector arm support system is opened on one side of the chassis vehicle, forms a “gate” type structure with the chassis vehicle, and makes the high energy detector array locate in the second plane in which the second collimator situates, and in a non-working state, the high energy detector arm support system is folded and stored on the top of the chassis vehicle; wherein the low and high energy detector arm support systems are located on the same side of the chassis vehicle and form two “gate” type structures one after another with the chassis vehicle, and an internal passage formed by the two “gate” type structures becomes the inspection passage; wherein the equipment cabin has the power supply and control subsystem as well as the signal analyzing and image processing subsystem mounted therein; and wherein the working cabin has system operation and office equipments mounted therein and controls the vehicle-mounted moving type X-ray fluoroscopic imaging system. The present invention mainly provides an X-ray fluoroscopic imaging system. The X-ray fluoroscopic imaging system is comprised of a high energy electron accelerator with an energy higher than 2 MeV, a shielding collimator apparatus, an inspection passage, a low energy detector array, a high energy detector array, a power supply and control subsystem, a signal analyzing and image processing subsystem and the like, wherein the electron accelerator and the shielding collimator apparatus generate two groups of X-ray beams with different energies and different angles, the X-ray beams penetrate an inspected object located in the inspection passage and are received by the low energy detector array and the high energy detector array respectively, the received signals are subjected to analyzing process and image reconstruction, and finally a fluoroscopic image reflecting the shape, structure and substance material characteristics of the inspected object is displayed. The present invention mainly provides an X-ray fluoroscopic imaging system, which performs fluoroscopic imaging by using two groups of X-ray beams with different energies and different angles but with uniform distribution in various directions in their respective planes through a design of the electron accelerator, the shielding collimator apparatus, the low energy detector array, the high energy detector array and various mechanical combining structures. The system has the following advantages: compared with other schemes using a double energy electron accelerator, a single energy electron accelerator is used, thus the structure is simpler and the cost is lower; compared with other schemes generating the high energy and the low energy respectively at different time, the two groups of beams with different energies are generated at the same time, thus the inspection speed is faster; compared with other systems using high and low energy comprehensive detectors, the two groups of X-ray beams with different energies are disposed at different locations with the corresponding detectors being low energy detectors and high energy detectors respectively, thus the structure is simpler and the cost is lower; the intensities of the X-ray beam in various angular directions within the plane are uniform, thus the distance between the radiation source and the inspected object can be shortened and the X-ray can be extracted over a large angle to cover the inspected object; each group of X-ray beams has a plurality of advantages such as small energy spread, uniform intensity distribution and small target size within its planar sector region, thus the image quality of the X-ray fluoroscopic imaging system can be improved. The high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention can be designed as a specific type such as the fixed type, the combined type, the track moved type, the vehicle-mounted moved type etc., which has advantages such as simple structure, low cost, strong functions, good image quality etc. The present invention will be described in details with reference to the drawings hereinafter. FIG. 1 is a schematic view of the structure of a high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention. FIG. 2 is a schematic view of the structure of one type of electron accelerator and shielding collimator apparatus of the present invention, wherein (A) is a schematic view of the structure of the electron accelerator and the shielding collimator apparatus, and (B) is a cross-sectional view of the shielding collimator apparatus. As shown in FIG. 1 and FIG. 2, the high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention is comprised of a high energy electron accelerator 1, a shielding collimator apparatus 2, an inspection passage 3, a low energy detector array 4, a high energy detector array 5, a power supply and control subsystem 6, and a single analyzing and image processing subsystem 7, wherein the electron accelerator 1 comprises an electron emitting unit 101, an electron accelerating unit 102 and a target 103, and the generated electron beam E has a high energy above 2 MeV; furthermore, the shielding collimator apparatus 2 comprises a shielding structure 201 and at least two collimators 202a, 202b, and the two collimators 202a, 202b are disposed on the same side of the axis of the electron beam E. Furthermore, the collimator 202a, the low energy detector array 4 and the target point bombarded by the electron beam E are located in a first plane, whereas the collimator 202b, the high energy detector array 5 and the target point bombarded by the electron beam E are located in a second plane. Furthermore, the angles between the first and second planes in which the collimators 202a and 202b are located and the axis of the electron beam E are different, which range from 30° to 150° and from which a high energy X-ray beam and a low energy X-ray beam with uniform distribution of intensity within their respective planes are extracted respectively. FIG. 1 shows a schematic view of the structure of the high energy X-ray fluoroscopic imaging system with double energies/double viewing angles. The electron accelerator 1 generates a high energy electron beam E, the axis of which is L. The electron beam E bombards a target, and X-ray emitting at 4 solid angles is generated at the target point location O. The shielding collimator apparatus 2 surrounding the target point O absorbs and shields most X-ray, and a low energy planar sector X-ray beam X1 and a high energy planar sector X-ray beam X2 are extracted respectively by the two collimators 202a and 202b located on the same side of the axis L of the electron beam. X1 and X2 simultaneously penetrate different positions of the inspected object 8 located in the inspection passage 3 at different angles. The X-rays are decreased in intensity by different levels, and are received by the low energy detector array 4 and the high energy detector array 5 respectively. The low energy detector array 4 and the high energy detector array 5 perform preliminary processes on the signals reflecting the X-ray intensities, and thereafter send them to the signal analyzing and image processing subsystem 7. A fluoroscopic image reflecting two sections of the inspected object 8 through which the X-rays penetrate is obtained after processes such as signal analysis, algorithm computation, image construction etc. During operation, if the inspected object 8 and the X-ray fluoroscopic imaging system keep relative motion, that is, respective parts of the inspected object 8 go through the low energy X-ray fluoroscopic region and the high energy X-ray fluoroscopic region sequentially, two complete fluoroscopic images of the inspected object 8 can be obtained, one of which is a low energy left view fluoroscopic image penetrated by the low energy X-ray at the left viewing angle and received by the low energy detector array 4, and the other of which is a high energy right view fluoroscopic image penetrated by the high energy X-ray at the right viewing angle and received by the high energy detector array 5. A comprehensive image with a sense of level is obtained by processing the two images with a double viewing angle image reconstruction algorithm, and an image with materiel characteristics is obtained by processing the two images with a double energy materiel recognition algorithm, finally, these images are combined into a fluoroscopic image of the inspected object 8 with materiel information and level information. Patent CN101210895 of Nuctech Company Limited describes in detail a method in which fluoroscopic imaging is performed on an inspected object with X-ray beams of two different viewing angles, and a multi-level image is finally constructed. Although the two X-ray beams used in this application are not homogenous (that is, one has low energy and the other has high energy) with more uniform distributions and smaller target points which are different from the symmetric X-rays used in Patent CN101210895, the double viewing angle image reconstruction algorithms can substantially be the same, and thus detailed description is omitted in this application. Several patents of Nuctech Company Limited, such as CN101435783 and the like, describe in detail a method in which fluoroscopic imaging is performed respectively on an inspected object with X-ray beams of two different energies sequentially, and a fluoroscopic image reflecting the substance materiel information is finally constructed. Although a single energy accelerator is used to generate the low energy and high energy X-rays at two different locations simultaneously in this application, which is different from the method disclosed in Patent CN101435783 and the like in which a double energy accelerator is used to generate respectively the low energy and high energy X-rays at the same position sequentially in time, the methods for substance recognition with double energies are substantially the same, and thus detailed description is omitted in this application. When the electron beam bombards the target, the intensity and energy distributions of the X-ray beam generated at different azimuth angles relative to the target are different. Therefore, when a plurality of collimators are disposed at different azimuth angles relative to the target, the subsystem comprising the electron accelerator and the shielding collimator apparatus with a plurality of collimators can extract a plurality of groups of X-ray beams with different angles, different intensities and different energy distributions. Moreover, in addition to their different intensities, different energies and different angles, the plurality of groups of X-ray beams as described here have features such as uniform X-ray intensity distribution, small energy spread, small focus size and the like within their respective planar sector regions. A schematic view of the specific structure of one type of electron accelerator and shielding collimator apparatus used in the present invention is shown in FIG. 2. The components of the electron accelerator and the shielding collimator apparatus are shown in FIG. 2(A). The electron accelerator 1 is comprised of an electron emitting unit 101, an electron accelerating unit 102 and a target 103. The electron emitting unit 101 generates an electron beam E. The electron accelerating unit 102 accelerates the electron beam E to be a high energy electron beam with an axis of the electron beam being L, which is also defined as the axis of the electron accelerator 1. The high energy electron beam bombards the target, and X-rays emitting to respective angles in the space are generated at the target point location O. The shielding collimator apparatus 2 surrounds the target, and is comprised of a shielding structure 201 and collimators 202. The collimators 202 are planar sector slits disposed in the shielding structure 201, and are used for extracting X-rays to be used and limiting the X-rays in required planar shapes. The corner point of the sector is the target point O bombarded by the electron beam, and the thickness of the slit is in the millimeter order, which is generally, for example, 0.5 mm to 5 mm, typically is 2 mm. Moreover, the slit may also be a gap with a certain conicity, for example, the thickness of the gap is thinner at positions closer to the target point location O, and the thickness of the gap is thicker at positions further from the target point location O. For example, the gap thickness at the target point is 1.5 mm, the thickness of the middle segment is 2 mm, and the thickness at the gap outlet is 2.5 mm. There are two collimators 202 in the structure shown in FIG. 2, namely, 202a and 202b. For convenience of description, sometimes, the collimator 202a is referred to as the first collimator and the collimator 202b is referred to as the second collimator in this application. The deflection angle θ1 between the collimator 202a and the electron beam is larger, and the extracted planar sector low energy X-ray beam is referred to as X1; the deflection angle θ2 between the collimator 202b and the electron beam is smaller, and the extracted planar sector high energy X-ray beam is referred to as X2. The central line between the X-ray beams X1 and X2, i.e., the central symmetric line of the collimator 202a and 202b, is defined as H. The angle between H and L is 90° in FIG. 2, which is a recommended design structure with better effects. A cross-sectional view of one type of shielding collimator apparatus 2 is shown in FIG. 2(B). The shielding collimator apparatus 2 surrounds the target point O, and most of the X-rays generated at the target point are shielded and absorbed by the shielding structure 201, and planar sector X-ray beams can only be extracted from the gaps of the collimators 202. The thicknesses of the gaps, the sizes of the field angles and the locations of the openings determine the shape distributions of the planar sector X-ray beams. Typically as shown in FIG. 2(B), as for the X-ray beam, its thickness is 2 mm, and the total field angle is 90°, wherein the downward field angle is 15° (−15°) and the upward field angle is 75° (+75°) with reference to the horizontal plane (0°). In the present invention, the angle between the axis L of the electron accelerator 1 and the inspection passage 3 is smaller than 60°, and it is recommended that they are parallel. A case in which the axis L of the electron accelerator 1 and the inspection passage 3 form an angle β is shown in FIG. 3(A). In the present invention, the angle between the central symmetric line of the two collimators 202a, 202b and the inspection passage 3 is larger than 45°, and it is recommended that they are perpendicular. A case in which the central symmetric line H of the collimators 202a, 202b and the inspection passage 3 form an angle γ is shown in FIG. 3(B). Schematic views of the structures of another two types of high energy X-ray fluoroscopic imaging systems with double energies/double viewing angles are shown in FIG. 3. FIG. 3(A) shows the structure of an X-ray fluoroscopic imaging system in which the axis L of the electron accelerator 1 and the inspection passage 3 form an angle β. In the case β is smaller than 60°, the X-ray fluoroscopic imaging system can achieve the function of double energies/double viewing angles as long as the deflection angle θ1 between the collimator 202a and the electron beam as well as the deflection angle θ2 between the collimator 202b and the electron beam are adjusted to proper angles. However, as the angle β gets bigger, the energy difference between the low energy X-ray X1 and the high energy X-ray X2 will be affected. Thus, it is recommended that β=0, i.e., the axis L of the electron accelerator 1 is parallel to the inspection passage 3. FIG. 3(B) shows the structure of an X-ray fluoroscopic imaging system in which the central symmetric line H of the two collimators 202a, 202b and the inspection passage 3 form an angle γ. In the case γ is larger than 45°, the X-ray fluoroscopic imaging system can achieve the function of double energies/double viewing angles well as long as the deflection angle θ1 between the collimator 202a and the electron beam as well as the deflection angle θ2 between the collimator 202b and the electron beam are adjusted to proper angles. However, as the angle γ gets smaller, the path of the high energy X-ray X2 gets longer. On one hand, this will increase the number of detectors so as to increase the cost; on the other hand, this will make the viewing angle too skew, and will affect the level effect of a reconstructed image. Therefore, it is recommended that γ is about 90°, for example, the central symmetric line H of the two collimators 202a, 202b is perpendicular to the inspection passage 3. In the present invention, the low energy detector array 4 and the high energy detector array 5 are in a linear type arrangement, a segmented linear type arrangement, a standard L type arrangement or a C type arrangement, and are constituted by a plurality of low energy or high energy detectors. In the present invention, the low energy detector array 4 and the high energy detector array 5 are a plurality of detectors arranged in one row or a plurality of rows. Different shapes and different arranging methods of several types of detector arrays are shown in FIG. 4. FIG. 4(A) shows a case in which the detector array is arranged in a segmented linear manner. The low energy detector array 4 is divided into two linear segments, i.e., a top low energy detector array 41 and a side low energy detector array 42; likewise, the high energy detector array 5 is divided into a top high energy detector array 51 and a side high energy detector array 52. X-rays generated from the target point O are extracted into a planar sector low energy X-ray beam and a planar sector high energy X-ray beam by two collimators (in the drawing, perpendicular to the paper plane and overlapping each other), which penetrate the inspected object 8 and are received by the low energy detector array 4 and the high energy detector array 5 respectively. The sector regions formed by the detector arrays and the target point O can cover the cross-sections of the inspected object 8 completely. A detector array in such a configuration has a simple structure and is easy to be mounted and fixed. FIG. 4(B) shows a case in which the detector array is arranged in a standard L type manner. The low energy detector array 4 is divided into two linear segments perpendicular to each other, i.e., a low energy detector array 41 located at the top “-” segment and a low energy detector array 42 located at the side “|” segment; likewise, the high energy detector array 5 is divided into a high energy detector array 51 located at the top “-” segment and a high energy detector array 52 located at the side “|” segment. X-rays generated from the target point O are extracted into a planar sector low energy X-ray beam and a planar sector high energy X-ray beam by two collimators (in the drawing, perpendicular to the paper plane and overlapping each other), which penetrate the inspected object 8 and are received by the low energy detector array 4 and the high energy detector array 5 respectively. The sector regions formed by the detector arrays and the target point O can cover the cross-sections of the inspected object 8 completely. A detector array in such a configuration has a simple and regular structure, and is easy to be formed into a collapsible structure. FIG. 4(C) shows a case in which the detector array is arranged in a C type manner. The low energy detector array 4 and the high energy detector array 5 are located on two arc segments respectively, and the centers of the arc segments are the target point location O. X-rays generated from the target point O are extracted into a planar sector low energy X-ray beam and a planar sector high energy X-ray beam by two collimators (in the drawing, perpendicular to the paper plane and overlapping each other), which penetrate the inspected object 8 and are received by the low energy detector array 4 and the high energy detector array 5 respectively. The sector regions formed by the detector arrays and the target point O can cover the cross-sections of the inspected object 8 completely. In a detector array in such a configuration, the distances between respective detectors and the target point are the same, and the intensity of an X-ray beam extracted by the collimator of the present invention is uniformly distributed at respective angles, therefore, the intensities of the original X-ray signals received by respective detectors are uniform, and thus detectors with completely uniform amplifications can be chosen to form a detector array, which helps to simplify the system and reduce the cost. FIG. 4(D) shows a case in which the arranging manner of detectors is observed from the X-ray direction. The detector array may be a plurality of detectors arranged in one row, or may be a plurality of detectors arranged in a plurality of rows. When detectors are arranged in a plurality of rows, the relative positions of the detectors may be either juxtaposed or staggered. The use of a plurality of rows of detectors may increase the cost of detectors, however, the slice thickness of the inspected object obtained every time is multiplicative, and the inspection speed of the system can be improved multiplicatively. FIG. 4(E) shows that the end planes of detectors at respective positions are all perpendicular to the X-ray. In an X-ray fluoroscopic imaging system, all detectors generally receive the incidence of the X-ray with front faces, i.e., all detectors are disposed such that their end planes point to the target point O. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises a detector arm support 9 for the mounting and fixation of detectors and for the forming of an arrangement structure of the linear type, the segmented linear type, the standard L type or the C type. The detector arm support 9 is generally tubular, and can protect the detectors mounted therein. Openings are disposed at positions corresponding to the end planes of the detectors so that X-ray may reach the end planes of the detectors directly without being influenced. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises a conveying apparatus 10 for dragging the inspected object 8 to pass through the inspection passage at a given speed. The conveying apparatus may use various conveying means such as belts, rollers, chains, wheels, towbars etc. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises an adjustable fixing apparatus 11 for fixing the detector arm support 9 on the ground independently and for adjusting the position and the directions of the openings of the detector arm support 9 flexibly. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises scatter shielding structure(s) disposed on one side or both sides of the inspection passage 3 for shielding reflected rays and scattered rays generated when the X-ray penetrates the inspected object 8 so as to guarantee the safety of the working staffs and the public. The scatter shielding structure on the side of the collimators has strip-shaped openings at positions corresponding to the collimators to allow X-ray beams pass therethrough; the scatter shielding structure on the side of the detectors is located behind the detector arm support. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises an equipment room for the mounting and fixation of apparatuses such as the electron accelerator 1 and the like. The equipment room provides working environment with suitable temperature and humidity so as to meet related national regulations on the operation and management of X-ray apparatuses. The equipment room may be various cabins such as an equipment cabin transformed from a container, or a temporary room or a fixed building. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises a control room for providing the staffs of the system with a suitable place for equipment operation and working. The control room may be various cabins such as an equipment cabin transformed from a container, or a temporary room or a fixed building. One type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention also comprises a ramp for raising the height of the inspected object so that every part of the inspected object can be within the covering ranges of the low energy X-ray and the high energy X-ray. It should be particularly noted that, in one type of X-ray fluoroscopic imaging system of the present invention, the collimators may be located either on the same side or on different sides of the axis of the electron beam. It should be particularly noted that, in one type of X-ray fluoroscopic imaging system of the present invention, the low energy detectors and the high energy detectors mean that the X-ray beams they receive are of low energy and high energy relatively, but the detectors themselves do not necessarily have obvious differences. It is recommended that the low energy detectors and the high energy detectors are detectors having better detection results on X-ray beams with relatively low energy and X-ray beams with relatively high energy respectively. It should be particularly noted that, in one type of X-ray fluoroscopic imaging system of the present invention, the shielding collimator apparatus 2 may have more collimators on the same side of the electron beam so as to form a high energy X-ray fluoroscopic imaging system with more energies and more viewing angles. It should be particularly noted that, in one type of X-ray fluoroscopic imaging system of the present invention, the electron accelerator 1 may be a single energy accelerator or a pulse-alternating multiple energy accelerator. When the electron accelerator 1 has double (multiple) energies, the corresponding detectors are double (multiple) energy detectors. It should be particularly noted that, in one type of X-ray fluoroscopic imaging system of the present invention, respective components may be completely arranged on the ground so as to form a fixed type system; alternatively, a part of the components may be fixed on the ground and another part of the components may be arranged on a short-distance moving apparatus so as to form a partial moving type system; still alternatively, respective components may be completely arranged on a long-distance moving apparatus so as to form a moving type system. Moreover, an example of a combined and fixed type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is shown in FIG. 5. The combined and fixed type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles shown in FIG. 5 is comprised of an electron accelerator 1, a shielding collimator apparatus 2, an inspection passage 3, a low energy detector array 4, a high energy detector array 5, a power supply and control subsystem 6, a signal analyzing and image processing subsystem 7, detector arm supports 9, a conveying apparatus 10, adjustable fixing apparatuses 11, a scatter shielding structure 12, an equipment room 13 and a control room 14. The electron accelerator 1, the shielding collimator apparatus 2 and the like are mounted in the equipment room 13 and are located on one side of the inspection passage 3. The axis of the electron accelerator 1 is parallel to the inspection passage 3, and the collimators 202a and 202b face the inspection passage 3 and the central symmetric line thereof is perpendicular to the inspection passage 3. The total field angles of the sector slits of the collimators 202a and 202b are 90°, wherein the downward field angles are −15°, whereas the upward field angles are +75°. The distance between the electron accelerator 1 and the inspection passage 3 is small, and a large scale inspected object 8 can also be covered, thereby the region occupied by the system is reduced. The C type detector arm supports 9 are located on the other side of the inspection passage 3. The C type detector arm supports 9 have two groups with the low energy detector array 4 and the high energy detector array 5 mounted therein respectively, which are fixed on the ground by the adjustable fixing apparatuses 11 and which are located on positions corresponding to the collimators 202a and 202b respectively. That is, the target point location O, the collimator 202a and the low energy detector array 4 are located within a first plane, whereas the target point location O, the collimator 202b and the high energy detector array 5 are located with a second plane. A planar roller type conveying apparatus 10 is mounted in the inspection passage 3, which can carry large scale cases such as air containers, air trays and the like while they pass through the X-ray inspection region. The scatter shielding structure 12 is disposed between the equipment room 13 and the inspection passage 3, and is a composite structure of a lead plate and a steel plate, wherein the lead plate is used for shielding the X-ray and the steel plate is used for structural supporting and fixation. The scatter shielding structure 12 is provided with strip-shaped openings at positions corresponding to the collimators 202a and 202b, and will not block the low energy X-ray X1 and the high energy X-ray X2. The power supply and control subsystem 6, the signal analyzing and image processing subsystem 7, office equipments and the like are arranged in the control room 14. The equipment room 13 and the control room 14 are steel-structured cabins with heat insulation layers, which are equipped with basic facilities such as windows, doors, air conditioners, illumination apparatuses, ventilation apparatuses and the like; moreover, they have lifting structures on the top and fixing structures on the bottom, and thus can be disposed and mounted flexibly. The equipment room, the control room, the conveying apparatus and the detector arm supports are connected via electrical cables, and respective components are independent relatively and can be fixed and mounted flexibly on site, therefore, this is referred to as a combined and fixed type. This combined and fixed type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is suitable for performing fluoroscopic imaging inspection on inspected objects such as large scale and medium scale containers, cases for centralized luggage shipping and the like at places such as airports, freight stations and the like. An example of a track moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is shown in FIG. 6. The track moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles shown in FIG. 6 is comprised of an electron accelerator 1, a shielding collimator apparatus 2, an inspection passage 3, a low energy detector array 4, a high energy detector array 5, a power supply and control subsystem 6, a signal analyzing and image processing subsystem 7, L type detector arm supports 9, an equipment room 13, a control room 14, tracks 20, moving apparatuses 21, connecting and supporting structures 22, an electrical connecting structure 23 and the like. The electron accelerator 1, the shielding collimator apparatus 2 and the like are mounted in the equipment room 13, which is disposed on the tracks 20 fixed to the ground via the moving apparatus 21 on the bottom of the equipment room 13, and are located on one side of the inspection passage 3. The axis of the electron accelerator 1 is parallel to the inspection passage 3. The collimators 202a and 202b face the inspection passage 3 and the central symmetric line thereof is perpendicular to the inspection passage 3. One end (the “-” segment) of each of two groups of L type detector arm supports 9 is connected and fixed to the top of the equipment room 13 via the connecting and supporting structure 22, and the other end (the bottom of the “|” segment) of each of the two groups of L type detector arm supports 9 is disposed on the track 20 on the other side of the inspection passage 3 via the moving apparatus 21. Respective tracks are parallel to each other, and the detector arm supports 9 and the equipment room 13 form gate structures disposed on a plurality of parallel tracks. Respective moving apparatuses can be implemented in a plurality of ways such as wheels driven by a stepping motor etc., and keep synchronous motions so that the “gate” structures formed by the detector arm supports 9 and the equipment room 13 move on the tracks as a whole. The low energy detector array 41 and the high energy detector array 51 are mounted respectively within the top “-” segments of the two groups of L type detector arm supports 9, and the low energy detector array 42 and the high energy detector array 52 are mounted respectively within the side “|” segments thereof. The target point location O, the collimator 202a, the low energy detector array 41 and the low energy detector array 42 are located within a first plane, whereas the target point location O, the collimator 202b, the high energy detector array 51 and the high energy detector array 52 are located within a second plane. The power supply and control subsystem 6, the signal analyzing and image processing subsystem 7, office equipments and the like are arranged in the control room 14. The control room 14 is fixed to the ground and is connected to the equipment room 13 via the electrical connecting structure, The electrical connecting structure comprises cables and an apparatus for automatically retracting and releasing the cables, such as an automatic winding roll for cable and the like, which can retract and release the cables automatically and adjust the lengths of the cables flexibly during moving of the equipment room 13 on the tracks. This type of high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is partially fixed to the ground and partially arranged on the tracks, and can move back and forth within a certain distance, thus is referred to as a track moving type. The fluoroscopic imaging process performed on an inspected object by a track moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is as follows. The inspected object 8 parks on the inspection passage 3. The electron accelerator 1 starts to work and generates X-ray beams X1 and X2 together with the shielding collimator apparatus 2. At the same time, the “gate” structures formed by the equipment room 13 and the detector arm supports 9 move from one end to the other end of the tracks at a given speed so that the planar sector low energy X-ray X1 extracted by the collimator 202a and the planar sector high energy X-ray X2 extracted by the collimator 202b sweep the inspected object parked on the inspection passage 3 sequentially and are received by the low energy detector array 4 and the high energy detector array 5 respectively. Finally, a fluoroscopic image reflecting the multi-level structure and the material information of the inspected object is generated by the signal analyzing and image processing subsystem 7. The track moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles can also comprise a ramp 24 for raising the height of the inspected object 8 so as to achieve complete imaging of the inspected object 8. For example, during the inspection of a car, the tires may be imaged together so as to check whether there is prohibited goods such as drugs and the like in the tires. The ramp 24 has a known design structure, such as a steel-frame structure and the like. During imaging of the system, the structural information on a part of the ramp is blanked so as to alleviate the influence of the ramp on the image of the inspected object 8. The track moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles may be arranged at places such as customs, land ports, important locations and the like to perform fluoroscopic imaging on medium and small trucks, various towed carriages, various cars, small passenger cars and the like so as to obtain clear fluoroscopic images. Also, it has the functions of multi-level display and material recognition. Therefore, the inspection on smuggled goods, dangerous goods and prohibited goods can be accomplished well. Moreover, an example of a vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is shown in FIG. 7. The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles shown in FIG. 7 is comprised of an electron accelerator 1, a shielding collimator apparatus 2, an inspection passage 3, a low energy detector array 4, a high energy detector array 5, a power supply and control subsystem 6, a signal analyzing and image processing subsystem 7, collapsible L type detector arm supports 9 (two, 9a and 9b respectively), a chassis vehicle 30, a power supply cabin 31, an X-ray source cabin 32, an equipment cabin 33, a working cabin 34, elevating and rotating apparatuses 36 (two, 36a and 36b respectively), connecting and supporting apparatuses 37 (two, 37a and 37b respectively), collapsible connecting apparatuses 38 (two, 38a and 38b respectively). The chassis vehicle 30 is a large scale truck, such as a Volvo triple axis heavy duty chassis vehicle. A plurality of cabins are provided thereon, which are the power supply cabin 31, the X-ray source cabin 32, the equipment cabin 33, the working cabin 34 and the like. Each cabin is equipped with facilities such as heat insulation layers, windows, doors, air conditioners, illumination apparatuses, ventilation apparatuses and the like as needed. Power supply equipments are mounted in the power supply cabin 31, which may be power generating equipments such as diesel generators, and/or commercial power connecting apparatuses such as equipments able to be connected to the commercial power including cables and automatic winding rolls. The power supply cabin supplies power for the whole system, and generally has a power capacity above 15 kVA. The electron accelerator 1 and the shielding collimator apparatus 2 are mounted in the X-ray source cabin 32. The axis L of the electron accelerator 1 is parallel to the left and right symmetric line of the chassis vehicle 30. The central symmetric line H of the two collimators 202a and 202b of the shielding collimator apparatus 2 is perpendicular to the left and right symmetric line of the chassis vehicle. The low energy X-ray X1 and the high energy X-ray X2 extracted emit from the same side of the chassis vehicle 30 via strip-shaped openings at the side of the X-ray source cabin 32. The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles further comprises a radiation safety protection subsystem, which is comprised of apparatuses such as auxiliary shielding equipments, radiation signs, sound and light alarms, environmental dose monitors, video monitors, safety chains and the like according to related national regulations. All the apparatuses or part of the apparatuses of the radiation safety protection subsystem are mounted in the X-ray source cabin, too. The power supply and control subsystem 6, the signal analyzing and image processing subsystem 7 and other related apparatuses are mounted in the equipment cabin 33. The power supply and control subsystem 6 further comprises a lower-level subsystem for controlling the movement of the detector arm support system. The working cabin 34 is mounted at the end of the chassis vehicle 30. Office tables and chairs, display apparatuses, operation and control apparatuses and the like are mounted therein. It is a place where the working staffs operate the vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles. The elevating and rotating apparatuses 36 are mounted on the top of the X-ray source cabin 32. The collapsible L type detector arm supports 9 are connected to the elevating and rotating apparatuses 36 via the connecting and supporting apparatuses 37; also, each of the collapsible L type detector arm supports 9 is divided into two linear segments of “-” and “|”, which are connected via the collapsible connecting apparatus 38 at their joint point. These apparatuses are collectively referred to as the detector arm support system. The elevating and rotating apparatuses 36 are used for the elevation and the rotation of a certain angle of the detector arm support system with respect to the chassis vehicle, and can be implemented in plurality of ways, such as by employing hydraulic apparatuses, pneumatic apparatuses, motors or the like. The collapsible connecting apparatuses 38 are used for the connection of the two linear segments “-” and “|” of the L type detector arm supports 9 as well as for folding these two segments into a “=” shape or stretching them into an “L” shape in specific states, and can also be implemented in plurality of ways, such as by employing hydraulic link lever apparatuses, pneumatic link lever apparatuses, motor and gear combination apparatuses or the like. Corresponding to the two collimators 202a, 202b and the two groups of X-ray beams (i.e., the low energy X1 and the high energy X2), the elevating and rotating apparatuses 36, the connecting and supporting apparatuses 37, the collapsible L type detector arm supports 9 and the collapsible connecting apparatuses 38 are also divided into two groups with substantially the same structures and functions respectively, namely, 36a and 36b, 37a and 37b, 9a and 9b, 38a and 38b. The detailed connecting relations among them are as follows: (a) at a position corresponding to the collimator 202a, the elevating and rotating apparatus 36a is mounted on the top of the X-ray source cabin 32; the collapsible L type detector arm support 9a is connected to the elevating and rotating apparatus 36a via the connecting and supporting apparatus 37a; the collapsible L type detector arm support 9a is divided into two linear segments of “-” and “|”, wherein the low energy detector array 41 is mounted in the “-” segment, the low energy detector array 42 is mounted in the “|” segment, and the two segments are connected via the collapsible connecting apparatus 38a at their joint point; this part is referred to as the low energy detector arm support system; (b) at a position corresponding to the collimator 202b, the elevating and rotating apparatus 36b is mounted on the top of the X-ray source cabin 32; the collapsible L type detector arm support 9b is connected to the elevating and rotating apparatus 36b via the connecting and supporting apparatus 37b; the collapsible L type detector arm support 9b is divided into two linear segments of “-” and “|”, wherein the high energy detector array 51 is mounted in the “-” segment, the high energy detector array 52 is mounted in the “|” segment, and the two segments are connected via the collapsible connecting apparatus 38b at their joint point; this part is referred to as the high energy detector arm support system. All apparatuses of the vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles are integrated on one chassis vehicle, forming a special equipment vehicle. Generally, the detector arm support systems are folded and placed in the region at position 35 (as illustrated by the dashed box in FIG. 7). The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles can be driven on various roads such as country highways and those with higher levels through the chassis vehicle, thereby can meet application requirements in all occasions flexibly. Working Principle and Process The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is driven to a certain place with application requirement, and its detailed working process is as follows. (1) The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles is parked at a flat and open working place, the working staffs enter the working cabin, and the system is started. (2) The power supply apparatuses in the power supply cabin 31 start to work, e.g., generators start, or electrical cables are connected to commercial power supplying equipments, and the vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles obtains power supply. (3) The lower-level subsystem for controlling the movement of the detector arm support system of the power supply and control subsystem 6 operates so that: (a) the elevating and rotating apparatus 36a is first elevated to a certain height and then is rotated a certain angle clockwise so that the low energy detector array 41 and the low energy detector array 42 are located in the first plane where the target point location O and the collimator 202a are located; the collapsible connecting apparatus 38a drives the collapsible L type detector arm support 9a to stretch from the folded “=” state to the “L” state; (b) the elevating and rotating apparatus 36b is first elevated to a certain height and then is rotated a certain angle counter-clockwise so that the high energy detector array 51 and the high energy detector array 52 are located in the second plane where the target point location O and the collimator 202b are located; the collapsible connecting apparatus 38b drives the collapsible L type detector arm support 9b to stretch from the folded “=” state to the “L” state; (a) and (b) may be performed sequentially or simultaneously. The detector arm support system on one side of the chassis vehicle forms a “gate” type structure with the chassis vehicle, and the passage inside the “gate” type structure is the inspection passage 3, as shown by the dashed lines in FIG. 7. (4) Inspected objects, e.g., container trucks, vans, passenger cars, small cars and the like, are parked on the inspection passage in a queue, and persons such as drivers and the like leave the inspected objects. (5) The power supply and control subsystem 6 starts the electron accelerator 1, and outputs a low energy sector X-ray beam X1 in a first plane via the collimator 202a and a high energy sector X-ray beam X2 in a second plane via the collimator 202b at the same time. X1 reaches, directly or after penetrating the inspected object, the low energy detector array 41 located in the “-” segment of the detector arm support 9a and the low energy detector array 42 located in the “|” segment thereof; X2 reaches, directly or after penetrating the inspected object, the high energy detector array 51 located in the “-” segment of the detector arm support 9b and the high energy detector array 52 located in the “|” segment thereof. All detector arrays convert the received signals and then transmit them to the signal analyzing and image processing subsystem 7. (6) While the power supply and control subsystem 6 starts the electron accelerator, it starts the auto-driving of the chassis vehicle to travel along a straight line at a given speed so that the X-ray beams X1 and X2 sweep all the inspected objects on the inspection passage sequentially. (7) The signal analyzing and image processing subsystem 7 obtains the low energy X-ray fluoroscopic data and the high energy X-ray fluoroscopic data reflecting the geometric structures and material information of the inspected objects synchronously (synchronously with (5), (6) described above), generates fluoroscopic images of the inspected objects with multi-level information and material information after processes such as signal analysis, algorithm calculation, image construction and the like, and displays them on the display apparatuses in real time. The working staffs accomplish inspection tasks such as smuggled goods inspection, dangerous goods inspection, prohibited goods inspection and the like based on the image information. (8) The inspected objects, e.g., container trucks, vans, passenger cars, small cars and the like, drive away from the inspection passage after the inspection is finished and when no problems need to be dealt with. (9) If there exist multi-batch inspected objects, the above (4)˜(8) are repeated. And, if the inspection work ends, the electron accelerator 1 is stopped so as to stop the generation of X-rays, and: (a) the collapsible connecting apparatus 38a first drives the collapsible L type detector arm support 9a to retract from the opened “L” state into the folded “=” state; the elevating and rotating apparatus 36a is rotated a certain angle counter-clockwise to make the folded L type detector arm support 9a position above the dashed box region 35, and then is dropped for a certain height to reach the storage place; (b) the collapsible connecting apparatus 38b first drives the collapsible L type detector arm support 9b to retract from the opened “L” state into the folded “=” state; the elevating and rotating apparatus 36b is rotated a certain angle clockwise to make the folded L type detector arm support 9b position above the dashed box region 35, and then is dropped for a certain height to reach the storage place; (a) and (b) may be performed sequentially or simultaneously. (10) The working staffs stop the system, turn off the power supply, leave the working cabin, and may drive the vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles to the next working place. The vehicle-mounted moving type high energy X-ray fluoroscopic imaging system with double energies/double viewing angles may be arranged at places such as customs, land ports, airports, important locations and the like to perform fluoroscopic imaging on container vehicles, large, medium and small trucks, various cars, small passenger cars and the like so as to obtain clear fluoroscopic images. Also, it has the functions of multi-level identification and material recognition. Therefore, the inspection on smuggled goods, dangerous goods and prohibited goods can be accomplished well. The present invention mainly provides an X-ray fluoroscopic imaging system, which performs fluoroscopic imaging by using two groups of X-ray beams with different energies and different angles but with uniform distribution in various directions in their respective planes through a design of the electron accelerator, the shielding collimator apparatus, the low energy detector array, the high energy detector array and various mechanical combining structures. The system has the following advantages: compared with other schemes using a double energy electron accelerator, a single energy electron accelerator is used, thus the structure is simpler and the cost is lower; compared with other schemes generating the high energy and the low energy respectively at different time, the two groups of beams with different energies are generated at the same time, thus the inspection speed is faster; compared with other systems using high and low energy comprehensive detectors, the two groups of X-ray beams with different energies are disposed at different locations with the corresponding detectors being low energy detectors and high energy detectors respectively, thus the structure is simpler and the cost is lower; the intensities of the X-ray beam in various angular directions within the plane are uniform, thus the distance between the radiation source and the inspected object can be shortened and the X-ray can be extracted over a large angle to cover the inspected object; each group of X-ray beams has a plurality of advantages such as small energy spread, uniform intensity distribution and small target size within its planar sector region, thus the image quality of the X-ray fluoroscopic imaging system can be improved. The high energy X-ray fluoroscopic imaging system with double energies/double viewing angles of the present invention can be designed as a specific type such as the fixed type, the combined type, the track moving type, the vehicle-mounted moving type etc., which has advantages such as simple structure, low cost, strong functions, good image quality etc.
description
This nonprovisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2002-65334 filed in KOREA on Oct. 24, 2002, which is herein incorporated by reference. 1. Field of the Invention The present invention relates to an appearance processing method, and more particularly, to an appearance processing method capable of precisely processing a minute spherical surface or an aspheric shape and performing a large quantity of fabrication with a uniform processing precision and an aspheric lens fabricating method using the same. 2. Description of the Conventional Art Recently, as multimedia and an information communication technique are fast developed, an optical data storage, a fiber-optic system communication system, a display, and etc. are miniaturized. As an optical system is miniaturized, individual optical components have to be miniaturized and especially lenslets corresponding to a core factor of an optical system have to be miniaturized. The reason is because a processing precision has to be maintained as a miniaturization ratio in order to maintain an optical function of an existing lens and reduce a size of a lens itself. An ultra-micro refractive lens can be variously applied as a collimating lens, an objective lens, a solid immersion lens, and etc. as shown in an example of a pick-up optical system of a micro optical data storage which can be applied to a portable information device and etc., and a surface of the ultra-micro refractive lens has to be processed not only as a spherical shape but also as an aspheric shape. According to the conventional lens processing technique, a spherical lens or an aspheric lens of a desired shape were implemented by using a minute polishing method or various forming methods such as a precise molding, pressing, and an injection. The conventional technique is proper to fabricate comparatively voluminous refractive lens, but it is difficult to uniformly process a micro-lens of which diameter is smaller than a millimeter according to the conventional technique. In addition to said lens fabricating technique using a mechanical polishing method and forming methods, there is a reflow technique for processing a partial spherical lens having an arbitrary radius of curvature by a generated surface tension by heating optically transparent polymer material with a temperature more than a glass transition temperature, and there is a method for fabricating lens by transferring a curved surface shape formed by the reflow technique on a predetermined substrate by using a reactive ion etching technique or an ion milling technique. By said conventional micro-lens fabricating methods, a spherical lens can be miniaturized. However, it is limited to minutely process a micro-lens of which a spherical aberration is removed and a processing uniformity is lowered thus to degrade a mass production. Therefore, an object of the present invention is to provide an appearance processing method capable of precisely processing an arbitrary minute spherical surface or an aspheric shape and performing a large quantity of fabrication with a uniform processing precision and an aspheric lens fabricating method using the same. To achieve these and other advantages and in accordance with the purpose of the present invention, as embodied and broadly described herein, there is provided an appearance processing method comprising: designing a reference appearance for designing a set shape as a theoretical value; producing a specimen; comparing the reference appearance with the specimen and thus setting a deviation region; and performing ion beam milling for milling the deviation region of the specimen by ion beam. To achieve these and other advantages and in accordance with the purpose of the present invention, as embodied and broadly described herein, there is also provided an aspheric lens fabricating method comprising: designing a desired aspheric surface; producing a basic lens of an arbitrary shape; comparing the desired aspheric surface with the basic lens and thus setting a deviation region; performing ion beam milling for milling the deviation region of the basic lens by ion beam; and processing a shape of the basic lens with comparison with the desired aspheric shape by the ion beam milling and making the basic lens consistent with the desired aspheric shape. The foregoing and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings. Reference will now be made in detail to the preferred embodiments of the present invention, examples of which are illustrated in the accompanying drawings. Hereinafter, an appearance processing method and an aspheric lens fabricating method using the same will be explained. FIG. 1 is a flow chart showing one embodiment of an appearance processing method according to the present invention. As shown, in the appearance processing method, a reference appearance designing step for designing a set shape as a theoretical value and a specimen producing step for producing a specimen are performed. In said reference appearance designing step, a designed reference appearance includes a concave surface, a convex surface, or a plane. The specimen is formed as a shape that can be repeatedly and massively produced. That is, the reference appearance is an ideal appearance to be fabricated, and the specimen has a similar shape to the ideal appearance that can be repeatedly and massively produced. The specimen is a transparent material and can be a coupling of hetero material. Then, a deviation setting step for comparing the reference appearance with the specimen and thus setting a deviation region, and an ion beam milling step for milling the deviation region of the specimen by ion beam are performed. The ion beam milling is performed by an ion beam miller, and the ion beam miller comprises a reaction container 10 having an inner space, an ion generating unit 20 installed at one side of the reaction container 10 for ionizing gas such as Ar and then accelerating, and a specimen mounting unit 30 movably installed in the reaction container 10 for mounting a specimen. Operation of the ion beam miller will be explained. First, ion beam, ion accelerated in the ion generating unit 20 is sprayed into the reaction container 10. Then, a specimen mounted in the specimen mounting unit 30 is exposed to the ion beam and thus etched by the ion beam. The ion beam milling is a representative physical etching processing method and a technique for etching a surface layer of a specimen only with a physical method excluding a chemical reaction in a circumstance that a high vacuum degree is maintained. According to the ion beam milling technique, a milling rate corresponding to an etching rate is comparatively low, a processing for a long time is possible, and a roughness of a processed surface is very less, thereby enabling a minute surface processing. A method for processing a deviation region of a specimen and a deviation amount by the ion beam milling will be explained. As shown in FIG. 3, when the specimen mounting unit 30 moves, a plurality of specimens mounted at the specimen mounting unit 30 move thus to control an incidence angle of ion beam and control milling time, thereby milling the specimens. Since the specimen mounting unit 30 is rotated and moved with declination for an incidence direction of ion beam, the specimens mounted at the specimen mounting unit 30 can be rotated and declined for an incidence angle of ion beam. That is, as the specimen declines for ion beam made to be incident to the specimen, an incidence angle of ion beam is controlled and at the same time exposure time of the specimen exposed to ion beam is controlled, thereby processing the specimens. According to an incidence angle of ion beam made to be incident to the specimen and exposure time of the specimen exposed to ion beam, an etching rate of a surface of the specimen becomes different. Therefore, by using this, a deviation region of the specimen and the deviation amount are controlled thus to be processed. At this time, after performing the ion beam milling for a constant time, the milling-processed specimen is compared with the reference appearance thus to obtain a deviation, and milling of the deviation region and deviation amount are repeatedly performed, thereby making the specimen consistent with the reference appearance. That is, the ion beam milling is performed for a constant time, and the specimen is measured thus to be compared with the reference appearance, then milling of the deviation region and deviation amount between the reference appearance and the specimen is performed again. Said processes are repeated thus to make the specimen consistent with the reference appearance. FIG. 4 is a flow chart showing one embodiment of an aspheric lens fabricating method according to the present invention. As shown, the aspheric lens fabricating method comprises: designing a desired aspheric surface; producing a basic lens of an arbitrary shape; comparing the desired aspheric surface with the basic lens and thus setting a deviation region; performing ion beam milling for milling the deviation region of the basic lens by ion beam; and processing a shape of the basic lens with comparison with the desired aspheric shape by the ion beam milling and making the basic lens consistent with the desired aspheric shape. The desired aspheric shape includes a parabolic shape and an elliptical shape. The basic lens has an ideal spherical shape that can be massively produced easily, and has a very small minute shape. The ion beam milling is performed by the aforementioned ion beam miller. Herein, milling is performed in a state that a plurality of basic lens are positioned at the specimen mounting unit 30 of the ion beam miller. A method for fabricating a desired aspheric lens curved surface from the basic lens of a minute ideal spherical shape will be explained in more detail. First, an aspheric shape to be processed, that is, a desired aspheric shape Z can be obtained by setting coefficients A4, A6, A8, and etc. of a polynomial having an aspheric deviation by using a desired radius of curvature C and a conic constant ε as a following formula (1).Z=ch2/(1+√(1−εc2h2))+A4h4+A6h6+A8h8+A10h10+A  (1) In the above formula, h denotes a sag height of an apex of an aspheric lens curved surface. The aspheric shape is compared with an ideal spherical shape thus to obtain a deviation therebetween, and time t is controlled through a gradient S according to a relation between an incidence angle (Φ) of ion beam and a tangential plane of a spherical surface of an arbitrary position for a shape difference in each term and a milling rate A of a basic lens in a predetermined milling process condition, thereby designing a milling process. This can be expressed as a combination of a partial ion milling process like a following formula (2).Total milling process=A ΣSntn  (2) Herein, the n denotes the number of times of an independent milling process required to implement the aspheric shape. As shown in FIGS. 5 and 6, at the time of an ion beam milling process, a relation between an incident ion beam direction and a tangential plane direction at an arbitrary position of a curved surface where an etching by milling is performed, that is, a relation between an incidence angle Φ of ion beam, a milling direction and a tangential plane direction at an arbitrary position of a lens curved surface can be expressed as a following formula (3). This is equally applied to the milling direction between the X axis and the Z axis, the tangential plane direction X axis, and the Y axis thus to obtain a directional cosine of two directions for a three-dimensional space. This is converted into a rotation region based on the Z axis thus to average an integrated value, which is expressed as the following formula (3).S=−(1/π)sin θ cos Φ+cos θ sin Φ  (3) Said formula is deduced from a supposition that rotation is very fast performed on the basis of the Z axis and milling is not performed toward the −X axis direction of a curved surface which is the same direction as the milling direction for the X and Y axes. By properly combining the formula (3), a milling rate, and milling time (exposure time) by multiplying one another, a desired aspheric shape can be obtained through a minute surface processing due to milling. A milling process for a desired aspheric shape, that is, a desired aspheric shape from the ideal spherical shape will be explained. First, ion beam milling for a basic lens of the ideal spherical shape is performed for a constant time and the basic lens is measured thus to be compared with the desired aspheric shape. Then, ion beam milling for a deviation region and deviation amount between the desired aspheric shape and the basic lens is again performed. Said processes are repeated, thereby making the basic lens of the ideal shape consistent with the desired aspheric shape. Comparing the basic lens with the desired aspheric shape is performed by obtaining a deviation region and a deviation amount by using a stylus profiling method or an interferometer. That is, a surface profile of a processed basic lens is measured by using the stylus profiling method or the interferometer, and the shape is compared with the desired aspheric shape thus to perform milling for a deviation region and a deviation amount, thereby completing the basic lens of an ideal shape as a desired aspheric shape. FIG. 7 shows a surface profile of an aspheric lens which can be processed from a basic lens of an ideal shape according to the present invention. Said method can be very advantageously applied not only to a fabrication of a micro-spherical surface or an aspheric lens but also to a processing to modify a very small shape into a predetermined different shape. Also, since the ion beam milling is not a process including a chemical reaction, an etching mask such as photoresist is etched on a compound material, thereby easily transferring a minute structure of a preprocessed desired shape and etc. to a substrate. In addition, in the present invention, a fabrication cost can be reduced, a large quantity of fabrication is easy, a uniformity between processed ultra-micro lens is increased than the conventional minute polishing processing method or an injection method by a molding. Generally, the less the number of lens is, the more transmittance efficiency is increased and the less a production cost is, which can be satisfied by the aspheric lens processing of the present invention. Also, the micro-lens fabricated by the present invention can be applied to various fields requiring miniaturized and enhanced optical function such as a lens or a lens array necessary to an optical data storage of a portable information device, an optical transmitting/receiving module of a fiber-optic communication system, each kind of display, and etc. As aforementioned, in the appearance processing method and the aspheric lens fabricating method using the same according to the present invention, an arbitrary shape, a minute spherical surface, or an aspheric shape can be precisely processed, and a large quantity of fabrication by a uniform processing precision is possible, thereby enhancing a lens function, reducing a fabrication cost, and being applied to various fields requiring an enhanced optical function. As the present invention may be embodied in several forms without departing from the spirit or essential characteristics thereof, it should also be understood that the above-described embodiments are not limited by any of the details of the foregoing description, unless otherwise specified, but rather should be construed broadly within its spirit and scope as defined in the appended claims, and therefore all changes and modifications that fall within the metes and bounds of the claims, or equivalence of such metes and bounds are therefore intended to be embraced by the appended claims.
048511811
claims
1. A method of constructing a light water moderation type nuclear reactor comprising the steps of: dividing a reactor core having a plurality of different areas in a radial direction of the reactor core and through which light water flows; providing a plurality of fuel assemblies, each of the fuel assemblies comprising a plurality of fuel rods arranged therein; arranging the plurality of fuel assemblies of fuel rods in the reactor core so that each of the different areas having fuel assemblies with fuel rods arranged therein have different average densities of the fuel rods per unit cross-sectional area of a fuel assembly; forming the fuel rods of a fuel assembly in a first different area so as to have a larger average density of the fuel rods per unit cross-sectional area of the fuel assembly than the average density of the fuel rods per unit cross-sectional area of the fuel assembly of the fuel rods of a fuel assembly arranged in a second different area and forming the fuel rods of the fuel assembly arranged in the second different area to have a smaller average density of the fuel rods per unit cross-sectional area of the fuel assembly than the fuel rods of the fuel assembly of the first different area; wherein the step of forming fuel rods of the fuel assemblies of different areas with different average densities of the fuel rods per unit cross-sectional area of a fuel assembly includes burning the fuel rods having fuel therein of the fuel assembly having the larger average density of the fuel rods per unit cross-sectional area of the fuel assembly in the first different area and thereafter constructing a fuel assembly for the second different area by utilizing the fuel rods of the fuel assembly previously burned in the first different area without treatment of the fuel of the fuel rods and positioning the fuel rods within the fuel assembly of the second different area so as to have a smaller average density of the fuel rods per unit cross-sectional area. 2. A method according to claim 1, wherein the fuel assembly arranged in the first different area has a cross-sectional area substantially equal to the fuel assembly arranged in the second different area, and the number of fuel rods in the fuel assembly in the second different area is smaller than the number of fuel rods in the fuel assembly in the first different area. 3. A method according to claim 1, wherein the first different area is a central region of the reactor core and the second different area is a peripheral region of the reactor core radially disposed outside of the central region. 4. A light water moderation type nuclear reactor comprising a reactor core through which light water flows, a plurality of fuel assemblies arranged in the reactor core, each of the fuel assemblies comprising a plurality of fuel rods arranged therein, and a plurality of different areas provided within the reactor core in a radial direction of the reactor core, each of said different areas having fuel assemblies with fuel rods arranged therein having different average densities of the fuel rods per unit cross-sectional area of a fuel assembly, the fuel rods of a fuel assembly in a first different area having a larger average density of the fuel rods per unit cross-sectional area of the fuel assembly than the average density of the fuel rods per unit cross-sectional area of the fuel assembly of the fuel rods of a fuel assembly arranged in a second different area, the fuel rods of the fuel assembly arranged in the second different area with a smaller average density of the fuel rods per unit cross-sectional area of the fuel assembly than the fuel rods of the fuel assembly of the first different area being fuel rods of a fuel assembly having fuel therein previously burned in the first different area and moved into a fuel assembly of the second different area without treatment of the fuel of the fuel rods. 5. A light water moderation type nuclear reactor according to claim 4, wherein the first different area is disposed at a central region of the reactor core and the second different area is disposed at a peripheral region in a radial direction of the reactor core outside of the first different area. 6. A light water moderation type nuclear reactor according to claim 4, wherein a ratio (V.sub.H /V.sub.F) of the volume of light water and the volume of fuel material in the second different area is larger than a ratio (V.sub.H /V.sub.F) of the volume of light water and the volume of fuel material in the first different area. 7. A light water moderation type nuclear reactor comprising a reactor core through which light water flows, fuel assemblies of fuel rods arranged in the reactor core each of the fuel assemblies comprising a plurality of fuel rods arranged therein, and a plurality of different areas provided within the reactor core in a radial direction of the reactor core, each of said different areas having the fuel assemblies with fuel rods arranged therein having different ratios (r.sub.H/U) of the number of hydrogen atoms to the number of fuel material atoms, the fuel rods of a fuel assembly arranged in a first different area having the ratio (r.sub.H/U) of the number of hydrogen atoms to the number of fuel material atoms which is smaller than the ratio (r.sub.H/U) of the fuel rods of a fuel assembly arranged in a second different area, the fuel rods of the fuel assembly arranged in said second different area having a larger ratio (r.sub.H/U) than the ratio (r.sub.H/U) of the fuel rods of the fuel assembly arranged in said first different area being fuel rods of the fuel assembly having fuel therein previously disposed and burned in said first different area and moved into the fuel assembly disposed in said second different area without treatment of the fuel of the fuel rods. 8. A light water moderation type nuclear reactor according to claim 7, wherein the first different area is arranged at a central region of the reactor core and the second different area is arranged at a peripheral region in a radial direction of the reactor core outside of the region. 9. A light water moderation type nuclear reactor according to claim 7, wherein the fuel assemblies of fuel rods arranged in the reactor core include at least one first fuel assembly formed of a pluraliy of fuel rods and arranged in said first different area and at least one second fuel assembly formed of a plurality of fuel rods and arranged in said second different area, the fuel rods of said second assembly arranged in said second area having a distance between the fuel rods thereof which is larger than a distance between the fuel rods of said first assembly arranged in said first different area. 10. A light water moderation type nuclear reactor according to claim 7, wherein the fuel assemblies of fuel rods arranged in a reactor core include a plurality of first fuel assemblies having a plurality of fuel rods and arranged in said first different area, and a plurality of second fuel assemblies formed of a plurality of fuel rods and arranged in said second different area, said second fuel assemblies arranged in said second different area having a distance therebetween which is larger than a distance between said first fuel assemblies arranged in said first different area. 11. A light water moderation type nuclear reactor according to claim 7, wherein the the fuel assemblies of fuel rods arranged in the reactor core include at least one first fuel assembly formed of a plurality of fuel rods and arranged in said first different area, and at least one second fuel assembly formed of a plurality of said fuel rods and arranged in said second different area, and a plurality of light water areas provided in said second different area so as to arrange said at least one second fuel assembly therein. 12. A light water moderation type nuclear reactor according to claim 7, wherein said fuel assemblies of fuel rods arranged in the reactor core include at least one first fuel assembly formed of a pluraliy of fuel rods and arranged in said first different area, and at least one second fuel assembly formed of a plurality of fuel rods and being arranged in said second different area, said at least one second fuel assembly including at least one rod composed of a neutron moderator. 13. A light water moderation type nuclear reactor according to claim 7, wherein a ratio (V.sub.H /V.sub.F) of the volume of light water and the volume of fuel material in the second different area is larger than a ratio (V.sub.H /F.sub.F) of the volume of light water and the volume of fuel material in the first different area. 14. A light water moderation type nuclear reactor comprising a reactor core through which light water flows, a plurality of fuel assemblies arranged in the reactor core, each of the fuel assemblies having a plurality of fuel rods arranged therein, the reactor core being divided into at least a central area and a peripheral area in a radial direction of the reactor core, said plurality of fuel assemblies including at least one first fuel assembly arranged in said central area and at least one second fuel assembly arranged in said peripheral area, said fuel rods of said first fuel assembly arranged in said central area having a ratio (r.sub.H/U) of the number of hydrogen atoms to the number of fuel materials atoms which is smaller than a ratio (r.sub.H/U) of the number of hydrogen atoms to the number of fuel material atoms of said fuel rods of said second fuel assembly arranged in said peripheral area, the fuel rods of said second fuel assembly being fuel rods having fuel therein which have been previously disposed and burned in said first fuel assembly, in said central area and moved into said second fuel assembly in said peripheral area without treatment of the fuel of the fuel rods. 15. A light water moderation type nuclear reactor according to claim 14, wherein a cross-sectional area of said peripheral area is about 2.0 as large as a cross-sectional area of said central area. 16. A light water moderation type nuclear reactor according to claim 14, wherein a number of fuel rods in said first fuel assembly is about 2.0 as many as a number of fuel rods in said second fuel assembly. 17. A light water moderation type nuclear reactor according to claim 14, wherein said fuel rods of said first fuel assembly in said central are have an average density of fuel rods per unit cross-sectional area of said first fuel assembly so that the ratio of the number of hydrogen atoms to the number of fuel material atoms thereof is about 1.0-2.0, and the fuel rods of said second fuel assembly have an average density of fuel rods per unit cross-sectional area of said second fuel assembly in said peripheral area so that the ratio of the number of hydrogen atoms to the number of fuel material atoms is about 5.0. 18. A light water moderation type nuclear reactor according to claim 14, wherein said second fuel assembly in said peripheral area includes a channel box and a gap is provided among channel boxes adjacent to said second fuel assemblies in said peripheral area. 19. A light water moderation type nuclear reactor according to claim 14, wherein a plurality of said second fuel assemblies are provided in said peripheral area and are arranged side-by-side with a plurality of light water areas. 20. A light water moderation type nuclear reactor according to claim 14, wherein a plurality of second fuel assemblies are provided in said peripheral area and are arranged with a plurality of light water areas therebetween. 21. A light water moderation type nuclear reactor according to claim 14, wherein a ratio (V.sub.H /V.sub.F) of the volume of light water and the volume of fuel material in said peripheral area is larger than a ratio (V.sub.H /V.sub.F) of the volume of light water and the volume of fuel material in said central area. 22. A light water moderation type nuclear reactor according to claim 14, wherein at least one partition member is provided in the reactor core for dividing the reactor core into at least said central area and said peripheral area.
summary
description
The invention relates to detection methods and devices and more particularly to methods and devices for detecting the fissile content of materials within a manufacturing process in near real time. In processes for manufacturing materials containing special nuclear material (SNM), such as uranium enriched in the 235U isotope above the naturally occurring concentration of 0.711 weight percent 235U, as well as processes containing 233U or and plutonium, special handling and process design are required to avoid creating a situation in which the process material supports a self-sustaining chain reaction of fissions, otherwise known in the nuclear art as reaching criticality. Criticality occurs when there is a balance between neutron production and neutron loss in a chain reaction of fission events. In a nuclear reactor, the object is to achieve criticality so that the production of nuclear energy is self-sustaining. It is well known that outside of the well contained and purposefully designed environment of a nuclear reactor, criticality is to be avoided because of the dangers of nuclear radiation exposure and contamination. This is particularly true in the manufacture of and disposal of fissile material. Safe processing of special nuclear materials in a fuel manufacturing setting requires that any production of neutrons be much less than the losses of neutrons in a configuration known in the nuclear art as subcritical. A safely subcritical condition is characterized as having the neutron losses much greater than production. It follows that in this safely shutdown condition, the neutron population in the process will be very small and generally below measurement thresholds. Unfortunately, a safely subcritical configuration is difficult to differentiate from an unsafe, but still slightly subcritical configuration because both will not produce significant neutrons or other radiation until the configuration is critical and then actually supercritical, where neutron production exceeds losses. A supercritical configuration will result in significant nuclear hazard and contamination and is, therefore, to be avoided at all cost outside the purpose designed confines of a nuclear reactor. Heretofore, there has been no practical way to measure or detect the fissile content of a process in near real time so as to be able to measure the actual level of subcriticality because the neutron production and losses in a near zero neutron population are also nearly zero. To compensate for the lack of real time information about the actual levels of neutron production and loss, current art manufacturing facilities utilize off-line criticality safety analyses and extensive off-line laboratory analysis based on assumptions that the multiple key inputs to a process are in the most conservative configuration regardless of whether the assumptions are possible in reality or in coincidence. Engineers create models of the geometry of the process equipment, such as pipes, containers, columns, pumps, valves, centrifuges, and similar vessels, and model the process chemistry and physics, and system and process controls, imagining the worst case scenarios and faults in the process and equipment. These assumptions result in significant impacts on process operability due to the conservative nature of the criticality assumptions as well as real physical limitations on process dimensions and layout that negatively impact operability and maintainability. The following summary is provided to facilitate an understanding of some of the innovative features unique to the embodiments disclosed and is not intended to be a full description. A full appreciation of the various aspects of the embodiments can be gained by taking the entire specification, claims, and abstract as a whole. In various aspects, an apparatus is described herein that measures the fissile content in a process for manufacturing fissile materials wherein the process uses a process vessel. The apparatus includes a neutron generating assembly for generating neutrons, a collimating assembly for focusing the neutrons generated in the neutron generating assembly towards the process vessel, an interrogation assembly positioned in predetermined locations relative to the process vessel for detecting variables of the process, wherein the variables include in use, at least neutron and gamma radiation content or absence thereof, and a processor for receiving input about the process variables from the interrogation assembly and for calculating margin to criticality in the process based on the detected variables. In certain aspects, the neutron generating is one of either a fusion neutron source capable of being modulated electronically or a fixed neutron source capable of being modulated mechanically. In certain aspects, the neutron source is an accelerator-driven fusion source utilizing either deuterium-deuterium (D-D) or deuterium tritium (D-T) hydrogen fusion reactions. In other certain aspects, the neutron source is a fixed source of material that decays by neutron production, such as 252Cf. In certain aspects, the neutron emitting material may be selected from a group consisting of 252Cf as a spontaneous fission source and (α,n) neutron sources consisting of mixtures of beryllium and mixtures of highly active α particle emitters of plutonium, americium, radium and polonium. The fusion neutron source may include an ion-beam target made of a material that readily adsorbs hydrogen isotopes within the crystal lattice. Exemplary materials for the ion-beam target include zirconium, titanium, yttrium, and palladium and combinations thereof. The fusion neutron may also use heavy water or high pressure deuterium or tritium gas as the target material. The choice of fusion neutron source target material is optimized for each application. In various aspects, the neutron source pulses an ion beam, comprised for example of one of deuterium and tritium ions, towards the target. The deuterium or tritium embedded in the target absorbs the deuterium or tritium ion beam, undergoes a nuclear fusion reaction and releases neutrons to the collimating assembly. The interrogation assembly may include a plurality of detector arrays positioned in predetermined locations relative to the process vessel. The detectors are preferably selected from the group consisting of neutron and gamma detectors. The interrogation assembly may also detect process variables such as process parameters selected from the group consisting of temperature, pressure, pH, flow rate, density, fluid level, opacity, moisture, and combinations thereof. The interrogation assembly may also include a moderator assembly surrounding the detector array(s) for thermally equalizing neutrons generated in the process as a result of the neutrons produced in the neutron generating assembly. The interrogation assembly moderator may be made of a material having an atomic number of 12 or less. Exemplary materials include water, heavy water, beryllium, beryllium oxide, graphite, polyethylene, deuterated polyethylene, metal hydrides, metal deuterides and combinations thereof. The processor input may include signals from the interrogation assembly representative of the process variables in real time. The processor correlates the signals to stored models of known process variables to calculate margin to criticality. The apparatus may also include a moderator assembly positioned between the neutron generating assembly and the collimating assembly for thermally equalizing neutrons generated in the neutron generating assembly. The moderator may be made of a material having an atomic number of 12 or less. Exemplary materials include water, heavy water, beryllium, beryllium oxide, graphite, polyethylene, deuterated polyethylene, metal hydrides, metal deuterides and combinations thereof. The apparatus may be described as having generally a neutron source capable of being modulated, a collimator for focusing the direction of neutrons emanating in use from the neutron generator, a plurality of detector arrays positioned in predetermined locations relative to the process vessel for detecting process variables comprising at least neutron and gamma radiation wherein the detectors produce signals representative of the process variables in real time, and a processor for receiving the signals and converting the detected process variables into margin to criticality measurements. The neutron source may vary. For example, the neutron source may be a fixed source, a fusion source using a liquid target, or a fusion source using a target formed from a material capable of taking hydrogen into solution, the target being impregnated with deuterium. The processor input may be in the form of measured detector signals from the interrogation assembly representative of the process variables in real time. The processor correlates the signals to stored models of known process variables to calculate margin to criticality. In various embodiments, other salient aspects of the process, including but not limited to temperature, pressure, pH, flow rate, density, fluid level, opacity, moisture, may be measured and communicated to the processor. In various aspects, a method is described herein for measuring a margin to criticality in a process for manufacturing fissile materials wherein the process uses process vessel. The method generally includes pulsing neutrons from a source of neutrons, collimating the pulsed neutrons into the process vessel which is, in turn, being viewed by an array of process variable detectors positioned in predetermined locations relative to the process vessel, detecting process variables comprised of at least neutron and gamma radiation levels, wherein the detectors produce signals representative of the process variables in real time, and sending the real time signals to a processor wherein the processor correlates the signals to stored models of known process variables to calculate margin to criticality. The method may also include moderating the thermal equilibrium of neutrons pulsed from the neutron source before collimating the neutrons. The step of pulsing the neutrons may include intermittently generating an ion beam comprised of either deuterium or tritium and directing the beam to a target formed from a material capable of taking hydrogen isotopes into solution. The target is preferably impregnated with either deuterium or tritium and emits neutrons upon absorption of the deuterium or tritium ions. Alternatively the neutron pulses may be formed from a fixed neutron source through the use of mechanical shutters or shields. The apparatus and method described herein enable real time measurement of the fissile content of chemical and material handling processes. This capability will enable a step-change in processing capability both by providing real-time feedback of the process fissile content as well as the verification of the criticality safety of the process. In various aspects, the apparatus utilizes a pulsed neutron generator placed in close proximity to the fissile material manufacturing process to be measured combined with one or more process-coupled neutron and gamma radiation detectors to measure fission rate, neutron multiplication and delayed fissions neutrons which are coupled to a processor that utilizes the pre-calculated results of well-known Monte Carlo computer codes such as MCNP, KENO or equivalent to determine the fissile content within the process and, thereby margin to criticality. The transfer function from neutron pulse and detector response may be determined by solving the neutron transport Boltzmann equations for the response within the interrogator assembly due to the introduction of the neutron source from the neutron generator within the process vessel. The solution of the Boltzmann equations using Monte Carlo method is well known to those skilled in the nuclear energy field. Commercially available computer codes such as MCNP, KENO or their equivalents are used by describing the specific geometry and materials of the neutron generator, the process being measured and the interrogator assembly. The Monte Carlo computer code tracks source neutrons from birth in the source assembly through their transit of the source assembly, moderator and collimator, into the process and then into the interrogator assembly through death from absorption or leakage. The neutron pulse strength and pulse width will be optimized to each process for which this invention is applied to provide the required measurement accuracy while minimizing the irradiation of the process fissile material as well as the personnel dose resulting from the measurement technique. The novel use of pulsed neutron sources, such as neutron generator tubes or accelerators, combined with use of response surface functions that are generated specifically for the specific process item using the criticality safety methods calculation of fixed source response and the novel use of Monte Carlo computing calculations in a fixed source to provide real time process control and safety parameter measurement will significantly increase the safety and economy of manufacturing fissile materials. As used herein, the singular form of “a”, “an”, and “the” include the plural references unless the context clearly dictates otherwise. Thus, the articles “a” and “an” are used herein to refer to one or to more than one (i.e., to at least one) of the grammatical object of the article. By way of example, “an element” means one element or more than one element. Directional phrases used herein, such as, for example and without limitation, top, bottom, left, right, lower, upper, front, back, and variations thereof, shall relate to the orientation of the elements shown in the accompanying drawing and are not limiting upon the claims unless otherwise expressly stated. In the present application, including the claims, other than where otherwise indicated, all numbers expressing quantities, values or characteristics are to be understood as being modified in all instances by the term “about.” Thus, numbers may be read as if preceded by the word “about” even though the term “about” may not expressly appear with the number. Accordingly, unless indicated to the contrary, any numerical parameters set forth in the following description may vary depending on the desired properties one seeks to obtain in the compositions and methods according to the present disclosure. At the very least, and not as an attempt to limit the application of the doctrine of equivalents to the scope of the claims, each numerical parameter described in the present description should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any numerical range recited herein is intended to include all sub-ranges subsumed therein. For example, a range of “1 to 10” is intended to include any and all sub-ranges between (and including) the recited minimum value of 1 and the recited maximum value of 10, that is, having a minimum value equal to or greater than 1 and a maximum value of equal to or less than 10. The various embodiments of the apparatus and method described herein enable online monitoring of the fissile content of the special nuclear material (SNM) moving through a nuclear fuel production process. In general, the apparatus includes a neutron generating assembly for generating neutrons, a collimating assembly for focusing the neutrons generated in the neutron generating assembly towards the process vessel, an interrogation assembly positioned in predetermined locations relative to the process vessel for detecting variables of the process, the variables comprised in use of at least the neutron and gamma radiation content or absence thereof, and a processor for receiving input about the process variables from the interrogation assembly and for calculating margin to criticality in the process based on the detected variables. “Process vessel,” as used herein refers to any of the various containers, pipes, pumps, centrifuges, kilns, vessels and the like used in the manufacture and processing of SNM to make nuclear fuel. In a fuel manufacturing process, typically cylinders of UF6 are received and vapors of UF6 are used in the process to convert the UF6 to another chemical form of uranium such as uranium dioxide (UO2), triuranium octoxide (U3O8), uranium tetrafluoride (UF4), uranium silicide (U3Si2), uranium carbide (UC), uranium nitride (UN) and metallic uranium. Similar chemical forms are also produced in a facility processing plutonium instead of uranium. At any the point in the process, the assumption is that small fission reactions that produce neutrons can occur and that the neutron production could increase should the process approach or reach criticality. Every fission event creates a cascade of radiation events that produce neutrons and gamma radiation. For safety purposes, there must be enough of a margin between the occasional neutron production during processing in a process that is safely subcritical on one hand and an intense pulse of neutron production followed by a steady state fission rate that would result from a process that is inadvertently allow to achieve criticality. It is the consequence of the intense pulse of radiation and the follow on steady radiation that requires that a criticality event be avoided at the prime directive of nuclear safety. As used herein, “margin to criticality” shall mean the difference between a perceived safe level where there is substantially less neutron production than neutron loss in the process when compared to the process critical condition in which neutron production and neutron loss are equal and there is a steady state of intense radiation as a result. In the nuclear art, the condition describing the critical condition is defined as the effective multiplication factor for the process, Keff, being exactly unity, or in equation form, Keff=1.00 . . . . In order to assure that a process handling special nuclear materials is safe and appropriately designed, the process must be subcritical, or expressed as Keff<1 under all potentially conceivable conditions. In practice, the effective multiplication factor should be significantly less than one, designated Keff<<1, not only to preclude an actual criticality event, but also to provide a margin of safety to provide assurance that the unthinkable event cannot occur without a series of failures that all owner, public and regulatory stakeholders are confident cannot occur. Determining the margin of safety in the design and safety analyses necessary to obtain licenses to operate a process containing special nuclear material is generally codified by international regulation and industry standards. The method described herein may be generally described as a method for measuring a margin to criticality in a process for manufacturing fissile materials wherein the process uses process vessel. The method generally includes pulsing neutrons from a source of neutrons, collimating the pulsed neutrons towards an array of process variable detectors positioned in predetermined locations relative to the process vessel, detecting process variables comprised of at least neutron and gamma radiation levels, wherein the detectors produce signals representative of the process variables in real time, and sending the real time signals to a processor wherein the processor correlates the signals to stored models of known process variables to calculate margin to criticality. The method may also include moderating the thermal equilibrium of neutrons pulsed from the neutron source before collimating the neutrons. The method of creating neutron pulses may include intermittently generating an ion beam within a fusion neutron generator or by opening and closing a shutter in a fixed neutron source neutron generator. The method described above may, in various aspects be carried out in the apparatus described herein. Referring to the FIGURE, an exemplary embodiment of the apparatus 10 may include a neutron generator 12, a collimator 14, a series of detector arrays 16 positioned at selected locations adjacent or in close proximity to, or contact with various process vessel, represented schematically by process vessel 18. The detector arrays 16 produce signals 24 representative of process variables and communicate the signals 24 to a processor 22. In certain aspects, the apparatus 10 may also include a moderator block 20 shown in dashed lines between the neutron generator 12 and collimator 14 or may be contained within a moderator 20a. The details and function of each feature of the apparatus 10 is described below. The neutron generating assembly is, in general, a commercially available apparatus designed to create hydrogen fusion reactions by impinging high energy deuterium ions into a medium containing deuterium or tritium referred to as a target. The use of an ion beam enables the neutron source capable of being modulated from full on to full off and all points between which is a key matter of safety when utilizing and apparatus that generates neutrons. Depending upon the configuration, the neutron generator may use a solid, liquid or gaseous target. Examples of a solid target include metals that are well-known to adsorb hydrogen into their crystal structure including, but not limited to, zirconium, titanium, yttrium, lithium, palladium to name a few. A typical liquid target would be comprised of deuterium oxide (heavy water) for a deuterium target or tritium oxide for a tritium target. A gas target would consist of deuterium or tritium gas. An alternate embodiment of the neutron generating assembly would be one in which a fixed source of neutron generating material including, but not limited to 252Cf is contained and shielded within the neutron generating assembly and modulation is obtained by mechanical means through the use of a shutter or other similar device to enable and disable the flow of neutrons from the neutron generating assembly. In various aspects, the neutron emitting material may be selected from a group consisting of 252Cf as a spontaneous fission source and (α,n) neutron sources consisting of mixtures of beryllium and mixtures of highly active α particle emitters of plutonium, americium, radium and polonium. In the preferred embodiment of the neutron generator using an accelerator-driven fusion reaction, the accelerator pulses the ion beam comprised for example of one of deuterium and tritium ions, towards the target. The target absorbs the ions from the ion beam and releases neutrons as a result of undergoing a fusion reaction to the collimating assembly. In the alternate embodiment of the neutron generator using a fixed neutron source, a mechanical shutter or window alternately exposes and shields the source neutrons from leaving the neutron generator assembly. The preferred embodiment of the neutron generator assembly moderator will utilize a moderator made of a material having an atomic number of 12 or less. Exemplary materials include water, heavy water, beryllium, beryllium oxide, graphite, polyethylene, deuterated polyethylene, metal hydrides, metal deuterides and combinations thereof. Those skilled in the art will recognize that the moderator assembly will necessarily be of a different design for each type of neutron source. By way of example, the fusion sources result in monoenergetic 2.2 MeV and 14.7 MeV for D-D and D-T, respectively. A 252Cf source emits a fission energy spectrum source with most probable energy at ˜2.7 MeV. The neutron generating assembly used in the apparatus may, for example, be a neutron source capable of being modulated. Examples of neutron sources include neutron generating tubes and fixed neutron sources. A neutron generator 12 typically consists of a neutron tube and a power supply. Neutron tubes include an ion source, an accelerator and a target, all housed in a suitable container. The neutron generator 12 can be modulated, or turned on and off at desired times or at a desired pace. In the method described herein, the neutron generator 12 may be pulsed to release pulses of neutrons into the collimator assembly and towards the process vessel 18 and detector assembly. The neutron generator tube contains materials such as molybdenum, rare earth metals, alumina ceramics, and blends thereof, and importantly, one or both of tritium (T) or deuterium (D). Inside the neutron generator tube, the ion beam material of either deuterium or tritium is ionized and electrostatically accelerated toward the target which has been infused with either deuterium or tritium. The fusion neutron generators using tritium as one of the fusion reactants have limited shelf life due to decay of tritium on a approximately 12 year half-life. The target is formed from a material capable of taking hydrogen into solution and has either deuterium (D) or tritium (T) embedded in a metal matrix. Exemplary target materials include zirconium (Zr), Yttrium (Y), and iridium (Ir). The ion beam strikes the target and the deuterium or tritium from the beam may be absorbed by the deuterium or tritium in the target causing a nuclear fusion reaction. The fusion reaction results in the generation of neutrons that are released within the neutron generator. Neutron generators are known in the art and are commercially available. In various aspects, a preferred embodiment may utilize commercially available neutron generator tubes that typically consist of a deuterium ion source that is electrostatically accelerated and impinged on a target that has been impregnated with either deuterium or tritium. The accelerated deuterium ion undergoes a fusion reaction with the deuterium or tritium in the target resulting in the emission of a 2.2 MeV neutron in the case of D-D fusion or a 14.7 MeV neutron in the case of D-T fusion. The collimating assembly may for example, include a series of shields or a collimator 14 that directs or focuses the neutrons emitted from the neutron generating assembly towards the desired process vessel. Collimators are known, commercially available devices, which, as used herein, focus the neutrons into a particle beam in which the particle paths are exiting the collimator are substantially parallel. The collimator 14 may be, in various aspects, an arrangement of absorbers for limiting the beam of neutrons to the dimensions and angular spread required for the specific manufacturing process application. The interrogation assembly, in various aspects includes one or more detectors, and preferably a plurality of detector arrays 16 positioned between and around the collimator assembly and the process vessel 18. The detector arrays 16 are, for example, positioned in predetermined locations relative to the process vessel 18 from which fissile content and subcriticality margin are being measured. The detectors 16 in certain aspects may be selected from the group consisting of ion chambers, proportional counters and/or scintillator detectors. In addition to the detection of process neutron emission, the interrogator assembly will also measure the emission of gamma rays that result from the decay of fission products resulting from fission products produced by the pulse of neutrons from the neutron generator. The detector arrays 16 measure the neutron multiplication, neutron and fission gammas generated and released in all directions as a result of the neutron source pulses. The detector arrays may be placed in multiple locations on or around the process vessel where fission events are most likely to occur. The exact number and location for placement of the detector arrays will vary according to the specific fissile material production process used, the type, size and geometry of the process vessel used, cost considerations, the anticipated strength of fission reactions in a particular portion or stage of the process, and required measurement accuracy for any portion or stage of the process. Placement of the detector arrays should, in most cases, be as close to the process as possible without interfering in the process. For example, detectors may be mounted circumferentially around and preferably in operative contact with a pipe or a container. By “operative contact” it is anticipated that the contact, although not physical, is not distinguished from direct contact such that the detector can effectively measure a process variable to effectively the same degree as if the detector were in physical contact with the process or process vessel, or sufficiently close to that degree that the process variable being measured will not materially alter the calculations of margin to criticality. Detectors may, for example, be packed closely or loosely around the process vessel depending on the design of the detector and the desired measurement accuracy. It would not be unusual for detectors to be placed every 20, 30, 45, or 60 degrees around the vessel on one or more planes running perpendicular to the longitudinal axis of the pipe or other vessel, and/or along one or more planes at an angle relative to the longitudinal axis of the pipe or other vessel. In various aspects, the placement of a detector array will be at the location(s) among the process vessel(s) or at select process vessel that is most limiting for reaching criticality. For example, placement of a detector array within an individual flow path would be placed at a large diameter tank rather than along a thin pipe run because with the same process fluid, margin to critical is minimized by large dimensions that concentrate fissile material mass. The requirement of the design and safety analysis is to assure that the process is always well below a self-sustaining fission event where Keff=1. For a workable margin of criticality, Keff should be substantially less than one (Keff<<<1.) Exemplary detectors include, for example, ion chambers, fission chambers, proportional counters and scintillators. Those skilled in the art will recognize that there are other detectors that may be used and that the precise detector will depend on the process variables one wishes to measure, which in turn will depend on the nature of the chemistry and physics of the manufacturing process used. Detectors are generally commercially available. In a detector array, the multiple detectors are of generally similar design are arranged physically around the process and then electronically connected to enable a more encompassing view of the process variables at any given time and at desired locations in the process. Detectors positioning may also be used to measure specific types of radiation that occur at in opposite directions, in coincidence counting mode, where a count is made only if two opposing detectors register an event of the correct energy within a very brief time window (<˜1 picosecond). Coincidence counting is a powerful tool to improve the detector signal-to-noise ratio by measuring specific types of reactions, such as fission directly while rejecting the resulting fission product decay gammas. Exemplary process variables include pressure, temperature, flow rate, density, fluid level, pH, moisture, neutron count rate, neutron energy, gamma count rate, gamma energy. The detector arrays will take readings at any desired frequency, again depending on the nature of the process, the process vessel configuration, the strength of the source and the desired accuracy. For example, the readings, or measures of process variables in the interrogation process may be taken every 5-7 seconds in a process with a typical time constant of 1 minute. However, if there is a particular process vessel where changes are infrequent, the frequency of the interrogation, or measurement taking, may be only when there are changes to the process vessel configuration, e.g., filling, draining or stirring, or every few minutes or even less frequently. With each interrogation or measurement, a known pulse of neutrons from the neutron source is introduced into the process. The more frequent the interrogation, or the larger the pulse of neutrons, the more accurate the measurement of the process parameters because the accuracy of the measurement is proportional to the inverse of the square roots of the number of counts. It is also true that an increase in the pulse size or frequency linearly increases the product irradiation and therefore the source strength for personnel radiation dose who physically approach the process. There is therefore, a balance between the desire to accurately know what is happening in the process and the need to minimize radiation added to the process system. The optimal frequency of interrogation will depend on the process variables, the specific manufacturing process used, process vessel geometry and material make-up and other factors understood by those skilled in the art of nuclear material production and criticality avoidance. In some portions or stages of the process, it may be important to have frequent measures of the process variables, while in other portions or stages, less frequent interrogation will be sufficient. In other portions of the process, the cost and dose associated with automated interrogation may not be justified at all, in which case these processes will continue to use bounding, off-line safety analysis to demonstrate safety. The detector readings of process variables generate signals 24 representative of the process variables in real time that are sent to and received by a processor 22. The processor 22 receives the input about the process variables from the interrogation assembly. In various aspects, the processor 22 input is in the form of measured detector signals 24 from the interrogation assembly, and may additionally include other salient measured aspects of the process including but not limited to temperature, pressure, pH, flow rate, density, fluid level, opacity, moisture, and combinations thereof representative of the process variables in real time. The processor 22 correlates the signals to stored models of known process variables to calculate margin to criticality. The processor correlates the signals to stored models of known process variables to calculate margin to criticality. The processor 22 and detector arrays 16 may communicate through any suitable known wired or wireless connection. Monte Carlo computer code models are created of the known neutron generator, including any moderator and collimator, the known materials of the process vessel, known positions of the various process vessel for the specific manufacturing process or processes of the specific special nuclear material or materials that will be carried out in a given manufacturing facility. A complete range of materials of construction and possible process scenarios for all process starting materials and intermediates to the final fissile material is modeled. A design of experiment is devised to provide guidance for the calculation of the combination of the known features and possible scenarios to determine theoretical fission rates for each and what any given detector is expected to detect for each process variable. For example, the fission rate for a given neutron pulse strength at a particular location or stage in the process would be measured and provided to the processor, combined with the other process variables and processed through the algorithm to arrive at the margin to criticality. The neutron generator pulses neutrons into the process stream containing the special nuclear material and measures the neutron multiplication, neutrons and fission gamma generated as a result of the neutron pulse(s). The process multiplication and/or fission gammas will used by online processing software to convert the measured detector response(s) into margin to criticality using the measured subcritical multiplication using a response surface calculated with a series of fixed source responses to the key process variables using the same Monte Carlo computer code set used to demonstrate the criticality safety of the specific process with its associated geometric and material configurations. The method is well known and is useful for obtaining numerical solutions to problems which are too complicated to solve analytically. It was named by S. Ulam, who in 1946 became the first mathematician to dignify this approach with a name. A Monte Carlo method is a statistical method of understanding complex physical or mathematical systems by using randomly generated numbers as input into those systems to generate a range of solutions. The likelihood of a particular solution can be found by dividing the number of times that solution was generated by the total number of trials. By using larger and larger numbers of trials, the likelihood of the solutions can be determined more and more accurately. The Monte Carlo method is used in a wide range of subjects, including mathematics, physics, biology, engineering, and finance, and in problems in which determining an analytic solution would be too time consuming. In 1946, Stanislaw Ulam applied the Monte Carlo approach to problems such as the production and diffusion of neutrons in radioactive material, a problem in which at each step there were so many possibilities that a solution was impossible to calculate. Ulam and John von Neumann worked out the method in greater detail. Today, there are commercially available software packages that apply a Monte Carlo method and any skilled software engineer or programmer can create a Monte Carlo based program for particular applications. The apparatus described herein is believed to be the first to couple the real time detection of fission events in a process with stored models developed using Monte Carlo methods for comparison to theoretical consequences of fission events under a full range of circumstances encountered in a fissile material manufacturing process. The response surface will provide direct measurement of the key process variables, e.g., fissile content, uranium content, moisture, and process material level using the neutron and gamma measurements from the interrogation system and the global parameters of pressure, temperature, flow rate, pH, and the like. The strength and frequency of the neutron pulses will be optimized to minimize personnel dose while providing the required process control and safety-related information at the required frequency. In various aspects, the apparatus 10 may also include a moderating assembly 20, 20a positioned between the process vessel 18 and the interrogation assembly. The moderating assembly 20, 20a may be made of material of atomic number of 12 or less. Exemplary materials for the moderator include water, heavy water, beryllium, beryllium oxide, graphite, polyethylene, deuterated polyethylene, metal hydrides, metal deuterides and combinations thereof. Thermal neutrons are produced by exposing the high energy neutrons produced in the process to these well-known materials. The use of a thermalizing interrogator assembly will increase the sensitivity of the interrogation of the process but also will increase the physical size of the assembly which may or may not be acceptable to the overall process system design and functionality. The moderator assembly may be in the form of a block 20a positioned between the neutron generator 12 and the collimator 14. Alternatively, the moderator may for an enclosure 20 surrounding and functioning as a housing for the neutron generator 12. In either configuration, the thermal energy from the neutrons emitted from the neutron generator is reduced by the moderator assembly. The moderator slows down the neutrons without absorbing so many that there are not enough neutrons emitted from the moderator to reach the detector array 16 and the process vessel 18. In various aspects, in an embodiment which may be referred to as thermal interrogation, the neutron generator is located within a specifically designed moderator block 20 made of a material with good moderating properties as described above. The moderator assembly surrounding the neutron generator is shielded in all directions except that facing the SNM process to be interrogated for SNM concentration. The presence of the neutron generator assembly, the moderator assembly and the collimator assembly is included into the criticality evaluation of the process and the response function of the SNM process to source neutron interrogation. The advantage of thermal neutron interrogation is that the response of the SNM process is relatively large per source neutron due to the much higher fission cross sections for neutrons in the thermal energy spectrum but it also requires that the neutron generator moderator assembly be in close proximity to the process thereby reducing the process criticality margin. As stated, the moderator is made of a material having a low atomic number. Graphite, having an atomic number of 12, is generally considered to most massive and still practical and effective moderator material. The next four more massive elements (nitrogen, oxygen, fluorine and neon) are all gaseous at room temperatures that limits the practicality of getting significant number densities of these elements to serve as moderators due to the inherently low mass density associated with gasses. Exemplary materials include but are not limited to the group containing water, heavy water, beryllium, beryllium oxide, graphite, polyethylene, deuterated polyethylene, metal hydrides, metal deuterides, and combinations thereof. The chosen materials will depend on certain process variables. For example, if the process temperature is high, polyethylene or water would not be used because the polyethylene may melt and the water will be converted to steam. In that case, metal hydrides, beryllium, beryllium oxide or graphite would be a better choice for the moderator material. In certain applications, for example where the available space for the apparatus is limited, a deuterated polyethylene moderator may be considered. In some applications, the process itself may be carried out in an aqueous solution. In various aspects, the water in solution will be sufficient to thermally moderate the neutrons so a separate moderator would not be necessary. In other applications, the expected process conditions provide little, if any, moderation of the neutron energy. In such systems, referred to as fast interrogation systems, the neutrons are directed to the SNM process in a fast or intermediate spectrum. The thermal interrogator embodiment with the moderator assembly may be contrasted to the first embodiment described that does not include a moderator. In the embodiment without a moderator, the method used may be referred to as fast interrogation method wherein there is little or no moderation of the thermal energy of the process source neutrons. As described above, the neutron source neutrons are directed into the SNM process in a fast or intermediate spectrum. The source assembly surrounding the neutron generator is collimated toward the SNM process, or shielded in all directions except that facing the SNM process, to be interrogated for SNM concentration. The presence of the neutron generator assembly and the assembly shielding is included into the criticality evaluation of the process and the response function of the SNM process to source neutron interrogation. The response of the SNM process to the fast spectrum neutrons will be significantly smaller than that of thermal source but may be less expensive due to the smaller number of components required to interrogate the SNM process relative to the thermal interrogation. The system fissile content measurement data can be used for closed-loop, fissile content process control and optimization, validation of the criticality safety analysis as well as input to active items relied on for safety. The primary purpose of the measurement is to operate the process within a very tightly controlled fissile content band that assures highly repeatable product quality characteristics and acceptable margin to criticality or to otherwise shutdown the process to maintain licensed margin to criticality. Precise control of process fissile content will increase process yield and also provide early warning of impending erosion of criticality margin prior to the making out-of-specification product and providing time to stabilize the process thereby avoiding a full process shutdown and the related safety challenges associated with actuating items relied on for safety. Once the neutron pulse has entered the SNM process, there will be a small number of fission events that will produce additional neutrons and gamma rays, both prompt and delayed. These ionizing radiation species will be sampled by strategically placed detectors located strategically around the SNM process. The neutron detectors may include ion chambers coated with either 235U or 10B or filled with 10BF3 or 3He. The gamma radiation will be detected using gamma spectroscopy techniques taking input from scintillator detectors including, but not limited to, sodium iodide (NaI) or bismuth germanium oxide (BGO). The resulting signals will be collected by the process software and correlated to the SNM process variables using pre-calculated sensitivity functions from the Monte-Carlo analysis software use to demonstrate SNM process criticality safety. These sensitivity functions are utilized to determine the real-time Keff of the process and provide input to the process control and protection system to enable precise control of fissile content to maximize process stability and product quality while precluding the potential for process criticality. The apparatus described herein will be helpful in deploying an inherently safe and production workable facility that can operate SNM processes where moderation control is insufficient to assure criticality safety using bounding analysis assumptions. The ability to gain information as to the real-time process criticality safety by direct measurement of the critical SNM process variables enables maximizing process throughput and simultaneously providing the operator and the criticality safety personnel with online measurement of the current safety state of the process. It is expected that use of the method and apparatus described herein will reduce the capital and operating cost of the SNM process by enabling more realistic process input to the process criticality evaluations. Eliminating the need to consider hyper-conservative process states to implement bounding, off-line criticality analysis is expected to enable lower overall process complexity with simultaneously higher SNM process throughput. The present invention has been described in accordance with several examples, which are intended to be illustrative in all aspects rather than restrictive. Thus, the present invention is capable of many variations in detailed implementation, which may be derived from the description contained herein by a person of ordinary skill in the art. All patents, patent applications, publications, or other disclosure material mentioned herein, are hereby incorporated by reference in their entirety as if each individual reference was expressly incorporated by reference respectively. All references, and any material, or portion thereof, that are said to be incorporated by reference herein are incorporated herein only to the extent that the incorporated material does not conflict with existing definitions, statements, or other disclosure material set forth in this disclosure. As such, and to the extent necessary, the disclosure as set forth herein supersedes any conflicting material incorporated herein by reference and the disclosure expressly set forth in the present application controls. The present invention has been described with reference to various exemplary and illustrative embodiments. The embodiments described herein are understood as providing illustrative features of varying detail of various embodiments of the disclosed invention; and therefore, unless otherwise specified, it is to be understood that, to the extent possible, one or more features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments may be combined, separated, interchanged, and/or rearranged with or relative to one or more other features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments without departing from the scope of the disclosed invention. Accordingly, it will be recognized by persons having ordinary skill in the art that various substitutions, modifications or combinations of any of the exemplary embodiments may be made without departing from the scope of the invention. In addition, persons skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, many equivalents to the various embodiments of the invention described herein upon review of this specification. Thus, the invention is not limited by the description of the various embodiments, but rather by the claims.
claims
1. A micro-scale power source, comprising:a semiconductor structure having a p-n junction formed of wide band-gap materials;a radioisotope providing energy to said p-n junction; anda radiation shield located within said semiconductor structure, wherein said radiation shield comprises a high density rare gas radioactive isotope micro bubble, wherein said high density causes excimer states in the rare gas radioactive isotope that decay to produce photons. 2. A micro-scale power source, comprising:a semiconductor structure having a p-n junction formed of wide band-gap materials;a radioisotope providing energy to said p-n junction; anda radiation shield located within said semiconductor structure, wherein said radiation shield comprises implanted atoms defining a high density rare gas micro bubble that is a small volume within said semiconductor structure having a locally changed band-gap, wherein said high density causes excimer states in the rare gas that decay to produce photons. 3. The power source of claim 2, wherein the p-n junction is formed from the group consisting of doped aluminum nitride, diamond, GaN or SiC. 4. The power source of claim 2, the p-n junction being formed on a first contact, the radioisotope formed on an opposite side of the p-n junction, further comprising a protecting coating on the radioisostope, and a second contact on the opposite side of the p-n junction. 5. The power source of claim 4, integrated in a MEMS device, the first and second contacts being part of a connection pattern in the MEMS device. 6. The power source of claim 2, wherein the radioisotope is formed as a thin layer. 7. The power source of claim 2, wherein said radioisotope is supported on an upper surface of said p-n junction, and wherein the power source further comprises:a first contact underlying said p-n junction opposite from said radioisotope; and,a second contact on said upper surface of said p-n junction and surrounding a perimeter of said radioisotope. 8. The power source of claim 7 and further comprising a protective coating layer over said radioisotope, said second contact surrounding the perimeter of said coating layer. 9. The power source of claim 8 and further comprising a cover over said protective coating layer, said cover not extending over said second contact and wherein a top surface of said second contact layer remains exposed. 10. The power source of claim 2, wherein said micro bubble is non-radioactive. 11. A micro-scale power source, comprising:a semiconductor structure having a p-n junction formed of wide band-gap materials;a radioisotope providing energy to said p-n junction; anda radiation shield located within said semiconductor structure, wherein said radiation shield comprises a high density micro bubble filled with one of Kr or Xe, wherein said high density causes excimer states in the KR or Xe that decay to produce photons. 12. A method of forming a power source, comprising the steps of:forming a semiconductor structure having a p-n junction of wide band-gap materials;implanting rare gas atoms in said semiconductor structure to form a micro bubble having high gas pressure defining a small volume of locally changed band-gap, wherein said gas pressure creates high density of the rare gas atoms sufficient to cause excimer states in the rare gas atoms that decay to produce photons; andproviding radioactive energy to said p-n junction,wherein said implanted atoms are excited to produce photons in said micro bubble, said photons impinging upon said p-n junction to generate electrical power. 13. The method of forming a power source of claim 12, wherein said implanting atoms step comprises implanting rare gas ions under several Giga Pascal of pressure. 14. The method of forming a power source of claim 13, wherein said rare gas ions comprise one of Kr and Xe. 15. The method of claim 12, wherein said photons comprise UV photons.
claims
1. A fuel assembly for a nuclear reactor including:a plurality of elongated nuclear fuel rods having an extended axial length;at least a lowermost grid supporting said fuel rods in an organized array and having unoccupied spaces defined therein to allow a flow of fluid coolant there-through and past said fuel rods when said fuel assembly is installed in the nuclear reactor;a plurality of guide thimbles extending along said fuel rods through and supporting said grid;a bottom nozzle disposed below said grid, below lower ends of said fuel rods and supporting said guide thimbles and permitting the flow of fluid coolant into said fuel assembly, said bottom nozzle comprising a substantially horizontal plate extending transverse to the axis of the fuel rods and having an upper face directed toward said lowermost grid, said upper face of said plate having defined there-through a plurality of flow through holes extending completely through said plate for the passage of the fluid coolant from a lower face of said plate to the upper face of said plate, each of said coolant flow through holes in fluid communication with said unoccupied spaces; andsaid lowermost grid comprising a first, spaced, parallel arrangement of elongated straps extending along a plane substantially orthogonal to the axis of the fuel assembly and a second, spaced, parallel arrangement of elongated straps extending along the plane substantially orthogonal to the axis of the fuel assembly and perpendicular to the first, spaced, parallel arrangement of elongated straps in an egg-crate lattice arrangement that defines a plurality of cells therein through which the fuel rods and guide thimbles pass, each of a first plurality of said cells, through which the fuel rods pass, having walls respectively with a cell height along the axial dimension of the fuel assembly equal to the width of one of the first and second, spaced, parallel arrangement of elongated straps and a cell width along the elongated dimension of the corresponding, elongated strap, and at least one wall of at least some of the first plurality of said cells having at least two distinct protrusions that are arches curved about the vertical axis of the cell and separately extend from the at least one wall inwardly into the at least some of the first plurality of cells on either side of the width near a corner of the at least one wall approximately at the same elevation along the cell height and spaced apart from the nuclear fuel rods at least at the beginning of life of the fuel assembly, a spring extends inwardly into the cell from the at least one wall above the protrusions and a dimple extends inwardly into the at least some of the first plurality of cells substantially centered along the width of the at least one wall at an elevation between the two distinct protrusions and the spring, the spring is sized to contact the fuel rod that passes through a corresponding one of the at least some of the first plurality of cells and the dimple is sized to be spaced apart from the nuclear fuel rod at least at the beginning of life of the fuel assembly. 2. The fuel assembly of claim 1 wherein the lowermost grid is positioned substantially adjacent the bottom nozzle. 3. The fuel assembly of claim 2 wherein the bottom nozzle is a debris filter. 4. The fuel assembly of claim 1 wherein at least some of the protrusions on the lowermost grid are located at a height along the cell wall coinciding with an elevation of a lower end plug on a corresponding fuel rod. 5. The fuel assembly of claim 4 wherein all of the lowermost protrusions in the at least one wall of the lower most grid are located at the height along the cell wall coinciding with the elevation of the lower end plug of the fuel rod. 6. The fuel assembly of claim 5 wherein substantially all of the protrusions in the at least one wall of the lower most grid are located at the height along the cell wall coinciding with the elevation of the lower end plug of the fuel rod. 7. The fuel assembly of claim 6 wherein substantially all of the protrusions in the at least one wall of the lower most grid are located at the height along the cell wall coinciding with the elevation of a solid portion of the lower end plug of the fuel rod. 8. The fuel assembly of claim 6 wherein substantially all of the protrusions are located at the height along the cell wall coinciding with an elevation below a cladding wall of the fuel rod. 9. The fuel assembly of claim 1 wherein the arches are fonned from a stamped portion of the cell wall connected to the cell wall at a base of the arch. 10. The fuel assembly of claim 1 wherein the arches are elongated with the elongated dimension of the arches extending laterally across a portion of the width of the cell walls of the first plurality of cells in a horizontal direction. 11. The fuel assembly of claim 1 wherein the protrusions are horizontally oriented. 12. The fuel assembly of claim 1 wherein the dimple is oriented horizontally and the spring is oriented vertically. 13. The fuel assembly of claim 1 wherein vertically adjacent each of the protrusions a second protrusion extends from the at least one wall in an opposite direction to the protrusions and into an adjacent one of the at least some of the first plurality of cells. 14. The fuel assembly of claim 13 wherein vertically adjacent the dimple (hereafter the first dimple) a second dimple extends from the at least one wall in the opposite direction to the first dimple into the adjacent one of the at least some of the first plurality of cells, the first dimple extending from the at least one wall into the at least some of the first plurality of cells a distance that is spaced apart from a corresponding one of the fuel rods passing through the cell and the second dimple extending from the at least one wall into the adjacent one of the at least some of the plurality of cells a distance that contacts a corresponding one of the fuel rods passing through the adjacent cell. 15. The fuel assembly of claim 14 wherein a third dimple extends in the opposite direction from the at least one wall at a position vertically above the spring into the adjacent one of the at least some of the first plurality of cells. 16. The fuel assembly of claim 15 wherein the third dimple is oriented vertically and the second dimple is oriented horizontally. 17. The fuel assembly of claim 1 wherein the cell height is approximately 2.025 inches (5.141 cm). 18. A grid for a nuclear fuel assembly comprising:a first, spaced, parallel arrangement of elongated straps extending along a plane substantially orthogonal to the axis of the fuel assembly;a second, spaced, parallel arrangement of elongated straps extending along the plane substantially orthogonal to the axis of the fuel assembly and perpendicular to the first, spaced, parallel arrangement of elongated straps in an egg crate lattice arrangement that defines a plurality of cells therein respectively through which either a fuel rod or a guide thimble passes; andeach of a first plurality of said cells, through which the fuel rods pass, having walls respectively with a cell height along the axial dimension of the fuel assembly equal to the width of one of the first and second, spaced, parallel arrangement of elongated straps and a cell width along the elongated dimension of the corresponding, elongated strap, and at least one wall of at least some of the first plurality of said cells having at least two distinct protrusions that are aches curved about the vertical axis of the cell and separately extend from the at least one wall inwardly into the at least some of the first plurality of cells on either side of the width near a corner of the at least one wall approximately at the same elevation along the cell height and spaced apart from the nuclear fuel rods at least at the beginning of life of the fuel assembly, a spring extends inwardly into the cell from the at least one wall above the protrusions and a dimple extends inwardly into the at least some of the first plurality of cells substantially centered along the width of the at least one wall at an elevation between the two distinct protrusions and the spring, the spring is sized to contact the fuel rod that passes through a corresponding one of the at least some of the first plurality of cells and the dimple is sized to be spaced apart from the nuclear fuel rod at least at the beginning of life of the fuel assembly.
052705498
abstract
An annular cylindrical multihole collimator for a radioisotope camera made by forming a plurality of closed annular radio-opaque plates which may be all corrugated plates or may be half corrugated and half flat, each having at least one collimator segment section and junction, and stacking a number of said plates cylindrically, axially on one another, alternately if both flat and corrugated plates are used, to form an annular cylindrical multihole collimator with at least one segment, each plate being bonded to its adjacent plates.
abstract
In order to provide an imaging-recipe arranging or creating apparatus and method adapted so that selection rules for automatic arrangement of an imaging recipe can be optimized by teaching in a SEM apparatus or the like, the imaging-recipe arranging or creating apparatus in this invention that arranges an imaging recipe for SEM-observing a semiconductor pattern using a scanning electron microscope includes a database that receives and stores layout information of the above semiconductor pattern in a low-magnification field, and an imaging-recipe arranging unit which, on the basis of the database-stored semiconductor pattern layout information, arranges the imaging recipe automatically in accordance with the automatic arrangement algorithm that includes teaching-optimized selection rules for selecting an imaging point(s).
summary
description
This application claims priority to and the benefit of Korean Patent Application No. 10-2018-0017695, filed on Feb. 13, 2018 and Korean Patent Application No. 10-2018-0066284, filed on Jun. 8, 2018, the disclosure of which is incorporated herein by reference in its entirety. The present disclosure relates to a nuclear fuel pellet having enhanced thermal conductivity and a method of manufacturing the same. Nuclear power generation uses heat generated by nuclear fission. With regard to this, several tens to several hundred pellets made of nuclear fuel materials are packed into cladding tubes, both ends of each of the cladding tubes are sealed and welded to form fuel rods, and several tens to several hundred fuel rods are bundled to produce one assembly. Such fuel rod assemblies are loaded into a nuclear reactor, and heat generated in the pellets is transferred to cooling water flowing around the fuel rods through the cladding tubes via the nuclear fuel pellets. Meanwhile, a cylindrical pellet manufactured by molding and sintering a material, which is made of an oxide of, for example, uranium (U), plutonium (Pu), or thorium (Th), or a combination thereof, is used as a nuclear fuel for nuclear power generation. Generally, uranium dioxide (UO2) is mainly used as a material of the pellet. In some cases, nuclear fuel materials manufactured by adding one or more other fuel materials such as oxides of Pu and Th to UO2 are used. Meanwhile, UO2, which is a typical nuclear fuel material, is widely used as a fuel material due to its high melting point and low reactivity with cooling water. However, UO2 has a considerably low thermal conductivity of 2 to 5 W/mK in a used temperature range. With regard to this, since heat generated by nuclear fission is not quickly transferred to cooling water when the thermal conductivity of a nuclear fuel material is low, pellets have a much higher temperature than the cooling water. The temperature of the center of a pellet is the highest, whereas a surface temperature thereof is the lowest. A difference between the center temperature of the pellet and the surface temperature thereof is inversely proportional to thermal conductivity. Accordingly, a center temperature of a pellet increases with decreasing thermal conductivity. A center temperature of a pellet is 1000 to 1500° C. in a normally burning nuclear fuel rod and may be higher than the melting temperature, 2800° C., of UO2 in severe accidents. In addition, since a nuclear fuel pellet has a high temperature and a large temperature gradient, all reactions, which depend on the temperature, are accelerated and thus the performance of materials deteriorates. In particular, performance deterioration becomes severe with an increasing combustion degree. Further, when a nuclear fuel pellet is in a high temperature state, safety margins in many nuclear reactor accidents are decreased. For example, in the event of loss of cooling material, safety margins are decreased as the temperature of a nuclear fuel immediately before the accident is higher. In the event of a sudden increase in the output of a fuel rod, a center temperature of a pellet may be higher than the melting point of UO2 due to low thermal conductivity of the pellet. A high output cannot be obtained when a significant restriction is applied to the output so as to prevent such problems, whereby economic losses occur. Meanwhile, a method of adding a metal material having a high thermal conductivity to a pellet has been proposed as a typical method to address the problem of low thermal conductivity of an oxide nuclear fuel pellet as described above. However, this method has a limitation in that the content of heterogeneous materials that can be mixed in a pellet is limited due to economic problems such as reduction of a cycle length of nuclear fuel and, in the case of metal particles having a simple shape, a thermal conductivity improvement effect is very small compared to the content of the metal particles when the content of the metal particles is small. [Patent Document] (Patent Document 0001) Korean Patent No. 10-1638351 (Jul. 12, 2016) Therefore, the present disclosure has been made in view of the above problems, and it is an objective of the present disclosure to provide a method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity, the method including (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet. It will be understood that technical problems of the present disclosure are not limited to the aforementioned problems and other technical problems not referred to herein will be clearly understood by those skilled in the art from disclosures below. In accordance with the present disclosure, the above and other objectives can be accomplished by the provision of a method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity, the method including (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet. In accordance with another aspect of the present disclosure, there is provided a nuclear fuel pellet having enhanced thermal conductivity including a nuclear fuel oxide matrix; and thermally conductive metal arrays dispersed to have an orientation in a horizontal direction in the matrix. The present inventors have researched to enhance thermal conductivity of a nuclear fuel pellet. As a result, the present inventors confirmed that a thermal conductivity enhancement effect can be maximized without deterioration of the structural integrity of a nuclear fuel pellet by adding a thermally conductive plate-shaped metal powder to a nuclear fuel oxide powder and mostly forming thermally conductive metal arrays in a horizontal direction (i.e., in a radial direction from the center) through molding and heat treatment, thus completing the present disclosure. Now, the present disclosure will be described in detail. Method of Manufacturing Nuclear Fuel Pellet Having Enhanced Thermal Conductivity The present disclosure provides a method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity, the method including (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet. In the specification, “nuclear fuel oxide powder” is formed from a nuclear fuel oxide precursor, and refers to a state before a granulation process. In particular, the nuclear fuel oxide powder has an average particle size of 0.1 μm to 50 μm. A UO2 powder may be formed from, without being limited to, a precursor, UF6, in general production processes such as a dry process (DC) and a wet process (ADU, AUC). In the specification, “plate-shaped, disc, or laminar” refers to a flat shape as opposed to a thin and elongated shape (acicular shape, needle) or a strip. A plate shape is characterized in that a ratio of average width to thickness is high and a constant area is observed in a top view. In the specification, “horizontal direction” refers to a radial direction from the center of a nuclear fuel pellet and a direction in which thermal conduction of a nuclear fuel is mainly performed. In addition, “orientation”, in the specification, refers to a distribution that is preferentially biased in a particular direction. First, the method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity according to the present disclosure includes a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder [step (a)]. The nuclear fuel oxide powder may have an average particle size of 0.1 μm to 50 μm, particularly 0.1 μm to 30 μm, but the present disclosure is not limited thereto. In terms of a horizontal arrangement of the thermally conductive plate-shaped metal powder, an average particle size of the nuclear fuel oxide powder is preferably smaller than or equal to an average width or thickness (particularly, width) of a thermally conductive plate-shaped metal powder described below, but the present disclosure is not limited thereto. On the other hand, nuclear fuel oxide granules are manufactured through a general granulation process using a nuclear fuel oxide powder as a raw material. An average particle size of nuclear fuel oxide granules is 100 μm or more, preferably 200 μm to 800 μm. Accordingly, a nuclear fuel pellet may be manufactured using nuclear fuel oxide granules instead of the nuclear fuel oxide powder. In this case, an additional procedure such as a powder granulation process is required and, when the properties of powder granules are not precisely controlled, the performance of a pellet may deteriorate. More particularly, a thermally conductive plate-shaped metal powder, described below, might not be uniformly dispersed in a nuclear fuel oxide matrix and is sensitive in that cracks may occur at interfaces between granules during a sintering process when process parameters are not appropriate. Accordingly, a general nuclear fuel manufacturing method should be modified, which indicates that the thermally conductive plate-shaped metal powder cannot be easily used in general processes. In particular, the nuclear fuel oxide powder may include one or more selected from the group including uranium dioxide (UO2), plutonium dioxide (PuO2), and thorium dioxide (ThO2), particularly uranium dioxide (UO2), but the present disclosure is not limited thereto. The thermally conductive plate-shaped metal powder is used as an additive for enhancing thermal conductivity of the nuclear fuel oxide pellet. The thermally conductive plate-shaped metal powder is characterized in that a ratio of average width to thickness is large unlike a spherical shape. Accordingly, the thermally conductive plate-shaped metal powder may continuously form thermally conductive metal arrays in a horizontal direction, which allows most of a nuclear fuel to be thermally conductive, by molding and heat treatment, whereby a thermal conductivity enhancement effect may be provided even using a small amount of the thermally conductive plate-shaped metal powder. In particular, a ratio of average width to thickness of the thermally conductive plate-shaped metal powder is preferably 10 to 300, but the present disclosure is not limited thereto. When a ratio of average width to thickness of the plate-shaped metal powder is less than 10, the plate-shaped metal powder has a shape similar to a spherical shape, whereby thermally conductive metal arrays might not be continuously formed in a horizontal direction although molding and heat treatment are performed. When a ratio of average width to thickness of the thermally conductive plate-shaped metal powder is greater than 300, a thermal conductivity increase effect is insignificant, compared to an increase in a ratio of average width to thickness, and cracks may be generated in a nuclear fuel oxide matrix during a sintering process. More particularly, the thermally conductive plate-shaped metal powder may have an average width of 1 μm to 900 μm and a thickness of 0.1 μm to 3 μm. In particular, the thermally conductive plate-shaped metal powder preferably has an average width of 5 μm to 900 μm and a thickness of 0.1 μm to 2 μm, but the present disclosure is not limited thereto. When an average width or thickness of the thermally conductive plate-shaped metal powder is too small, thermally conductive metal arrays might not be continuously formed in a horizontal direction although molding and heat treatment are performed. When an average width or thickness of the thermally conductive plate-shaped metal powder is too large, cracks may be generated in a nuclear fuel oxide matrix during a sintering process. In addition, an average aspect ratio of planes of the thermally conductive metal powder granules is preferably 1 to 5, but the present disclosure is not limited thereto. When an average aspect ratio of planes of the thermally conductive plate-shaped metal powder granules is 5 or more, the thermally conductive plate-shaped metal powder granules have a shape similar to an acicular shape (needle) or a strip, whereby an effect of enhancing the thermal conductivity of a nuclear fuel pellet is decreased. FIG. 2 schematically illustrates the characteristics of a thermally conductive plate-shaped metal powder included in a nuclear fuel pellet according to an embodiment of the present disclosure. When a metal powder having an acicular shape or a strip shape is added to improve the thermal conductivity of a nuclear fuel pellet, the metal powder having a thin and elongated shape may be arranged in a radial direction, which is a heat transfer direction from the center of a pellet to the outside, due to random arrangement thereof, or is arranged in another manner in many cases. Since particles not arranged in a heat transfer direction do not contribute to heat transfer, heat transfer efficiency is low, compared to the volume of a metal powder, in terms of heat transfer. However, in the case of the thermally conductive plate-shaped metal powder of the present disclosure, all particles thereof contribute to heat transfer, whereby thermal conductivity can be significantly enhanced. In addition, the thermally conductive plate-shaped metal powder may include one or more selected from the group including molybdenum (Mo), chromium (Cr), tungsten (W), niobium (Nb), ruthenium (Ru), vanadium (V), hafnium (Hf), tantalum (Ta), rhodium (Rh) and zirconium (Zr), and may include an alloy based on the same. In addition, the content of the thermally conductive plate-shaped metal powder based on the nuclear fuel oxide powder may be 1% by volume to 20% by volume, particularly 1% by volume to 5% by volume, but the present disclosure is not limited thereto. The thermally conductive plate-shaped metal powder may continuously form thermally conductive metal arrays in a horizontal direction, which allows most of a nuclear fuel to be thermally conductive, by molding and heat treatment, whereby a thermal conductivity enhancement effect may be provided even using a small amount of the thermally conductive plate-shaped metal powder. In addition, the thermally conductive plate-shaped metal powder may be formed by milling a thermally conductive spherical metal powder. Here, the milling may be performed by a method known in the art. Next, the method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity according to the present disclosure includes a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet [step (b)]. The molding may be performed such that the thermally conductive plate-shaped metal powder has an orientation in a horizontal direction, and is particularly performed by uniaxial pressing, but the present disclosure is not limited thereto. In particular, the molding may be performed at a pressure of 100 MPa to 500 MPa. In addition, the heat treatment, which is performed to manufacture a nuclear fuel pellet, may be performed at 1300° C. to 1800° C. for 1 to 20 hours. Selectively, the method of manufacturing a nuclear fuel pellet having enhanced thermal conductivity according to the present disclosure may further include a step of adding a combustible absorbent material to a nuclear fuel oxide powder of the step (a) or a step of coating the nuclear fuel pellet with a combustible absorbent material after the step (b). To utilize the nuclear fuel pellet as a combustible absorbing rod for controlling surplus reactivity of a nuclear reactor core, the nuclear fuel pellet may include or may be coated with a combustible absorbent material. The combustible absorbent material may include one or more selected from the group consisting of gadolinium (Gd), boron (B), erbium (Er) and dysprosium (Dy). The content of the combustible absorbent material based on the nuclear fuel oxide powder may be 0.5% by weight to 20% by weight, particularly 5% by weight to 20% by weight, but the present disclosure is not limited thereto. Nuclear Fuel Pellet Having Enhanced Thermal Conductivity The present disclosure provides a nuclear fuel pellet with enhanced thermal conductivity including a nuclear fuel oxide matrix; and thermally conductive plate-shaped metal arrays dispersed to have an orientation in a horizontal direction in the matrix. The nuclear fuel pellet having enhanced thermal conductivity according to the present disclosure includes a nuclear fuel oxide matrix; and thermally conductive plate-shaped metal arrays dispersed to have an orientation in a horizontal direction in the matrix. FIG. 1 schematically illustrates the structure of a nuclear fuel pellet having enhanced thermal conductivity according to an embodiment of the present disclosure. As shown in FIG. 1, a nuclear fuel pellet 1 according to an embodiment of the present disclosure having enhanced thermal conductivity includes a nuclear fuel oxide matrix 10; and thermally conductive plate-shaped metal arrays 20 dispersed to have an orientation in a horizontal direction in the matrix. Here, most of the thermally conductive plate-shaped metal arrays 20 are formed to have an orientation in a horizontal direction, thereby being capable of serving as efficient paths of heat transferred, in a horizontal direction, in the nuclear fuel pellet 1. In particular, the nuclear fuel oxide matrix may include one or more selected from the group including uranium dioxide (UO2), plutonium dioxide (PuO2), and thorium dioxide (ThO2). Here, a description of the nuclear fuel oxide matrix, which is formed from the nuclear fuel oxide powder, is the same as that of the nuclear fuel oxide powder. In addition, the thermally conductive plate-shaped metal arrays may include one or more selected from the group including molybdenum (Mo), chromium (Cr), tungsten (W), niobium (Nb), ruthenium (Ru), vanadium (V), hafnium (Hf), tantalum (Ta), rhodium (Rh), and zirconium (Zr). In addition, the content of the thermally conductive plate-shaped metal arrays based on the nuclear fuel oxide matrix may be 1% by volume to 20% by volume, particularly 1% by volume to 5% by volume, but the present disclosure is not limited thereto. Here, deformation due to molding and heat treatment of the thermally conductive plate-shaped metal arrays, which are formed from the thermally conductive plate-shaped metal powder, is very insignificant. The thermally conductive plate-shaped metal arrays may have a ratio of average width to thickness of 10 to 300, an average width of 1 μm to 900 μm, and a thickness of 0.1 μm to 3 μm, as in the thermally conductive plate-shaped metal powder. In addition, an average aspect ratio of planes of the thermally conductive plate-shaped metal arrays is preferably 1 to 5, but the present disclosure is not limited thereto. Selectively, a combustible absorbent material may be added to the nuclear fuel oxide matrix, or the nuclear fuel pellet may be coated with a combustible absorbent material. The combustible absorbent material may include one or more selected from the group consisting of gadolinium (Gd), boron (B), erbium (Er) and dysprosium (Dy). In addition, the content of the combustible absorbent material based on the nuclear fuel oxide matrix may be 0.5% by weight to 20 by weight, particularly 5% by weight to 20% by weight, but the present disclosure is not limited thereto. The nuclear fuel pellet having enhanced thermal conductivity according to the present disclosure may be manufactured according to the method. In particular, the nuclear fuel oxide matrix may be manufacturing by molding and heat-treating a nuclear fuel oxide powder. In addition, the thermally conductive plate-shaped metal arrays, which are dispersed to have an orientation in a horizontal direction in the matrix, may be manufactured by molding and heat-treating the thermally conductive plate-shaped metal powder. In addition, the present disclosure may provide a nuclear fuel including: the nuclear fuel pellet having enhanced thermal conductivity; and a nuclear fuel cladding tube in which a plurality of nuclear fuel pellets are loaded. In addition, the present disclosure may provide a method of improving the thermal conductivity of a nuclear fuel pellet, the method including (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet. As described above, since the method of manufacturing a nuclear fuel pellet according to the present disclosure includes (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet, the thermally conductive plate-shaped metal powder can mostly form thermally conductive metal arrays in a horizontal direction (i.e., in a radial direction from the center) by molding and heat treatment, and thus, a thermal conductivity enhancement effect can be provided even though the content of the thermally conductive plate-shaped metal powder is minimized. In particular, when a ratio of average width to thickness of the thermally conductive plate-shaped metal powder is 10 to 300, sound microstructures can be formed, without generation of cracks in a nuclear fuel oxide matrix during a sintering process, while maximizing a thermal conductivity enhancement effect. Accordingly, the structural integrity of a nuclear fuel pellet is not deteriorated. Accordingly, a nuclear fuel pellet manufactured according to the method can be easily applied to existing commercial nuclear fuel production facilities and can greatly improve nuclear fuel performance and safety under normal operation conditions and excessive conditions and in the case of an accident. Further, to utilize the nuclear fuel pellet as a combustible absorbing rod for controlling surplus reactivity of a nuclear reactor core, the nuclear fuel pellet includes or is coated with a combustible absorbent material such as gadolinium (Gd), boron (B), erbium (Er), or dysprosium (Dy) with high neutron absorption capacity, which can effectively address the problem that the thermal conductivity of a nuclear fuel pellet is decreased in proportion to the content of the combustible absorbent material. Now, the present disclosure will be described in more detail with reference to the following preferred examples. These examples are provided for illustrative purposes only and should not be construed as limiting the scope and spirit of the present disclosure. A UO2 powder having an average particle size of about 0.3 μm was prepared as a nuclear fuel oxide powder. Meanwhile, a spherical Mo powder having an average particle size of about 3 μm was subjected to a milling process to prepare a Mo powder having a plate shape. The prepared plate-shaped Mo powder has an average width of about 5 μm and a thickness of about 0.3 μm. Next, the prepared UO2 powder was mixed with 5% by volume (based on the UO2 powder) of the plate-shaped Mo powder to prepare a mixture. Next, uniaxial press molding was performed at a pressure of about 300 MPa such that the plate-shaped Mo powder had an orientation in a horizontal direction in the prepared mixture, and then heat treatment was performed at about 1700° C. under a hydrogen atmosphere for 4 hours to manufacture a nuclear fuel pellet. A pellet was prepared in the same manner as in Example 1, except that a plate-shaped Mo powder with an average width of about 15 μm and a thickness of about 0.4 μm, prepared by milling a spherical Mo powder with an average particle size of about 5 μm, was used. A pellet was prepared in the same manner as in Example 1, except that a plate-shaped Mo powder with an average width of about 30 μm and a thickness of about 0.5 μm, prepared by milling a spherical Mo powder with an average particle size of about 10 μm, was used. A pellet was prepared in the same manner as in Example 3, except that 8% by weight (based on the UO2 powder) of a Gd2O3 powder, as a combustible absorbent material, was additionally added and mixed with a UO2 powder. A pellet was prepared in the same manner as in Example 1, except that a spherical Mo powder with an average particle size of about 3 μm was not subjected to a milling process. A pellet was prepared in the same manner as in Example 1, except that a Mo powder with an average width of about 1150 μm and a thickness of about 3 μm, prepared by milling a spherical Mo powder with an average particle size of about 300 μm, was used. TABLE 1AverageAverageAverage widthThicknesswidth/thicknessaspect ratioExample 15μm0.3μm16.71.5Example 215μm0.4μm37.51.4Example 330μm0.5μm601.3Example 430μm0.5μm601.3Comparative3μm3μm11Example 1Comparative1150μm3μm383.3115Example 2 FIG. 3 illustrates scanning electron microscope (SEM) photographs of thermally conductive plate-shaped metal powders used to manufacture nuclear fuel pellets according to Examples 1 to 4. As shown in FIG. 3, the thermally conductive plate-shaped metal powders used in the methods of Examples 1 to 4, which are formed by milling thermally conductive spherical metal powders, were confirmed as having average widths of about 5 μm to about 30 μm. Meanwhile, the thermally conductive plate-shaped metal powders used in the nuclear fuel pellet manufacturing methods of Examples 1 to 4 were confirmed as having thicknesses of about 0.3 μm to about 0.5 μm, although not shown. Accordingly, when the thermally conductive plate-shaped metal powders used in the nuclear fuel pellet manufacturing methods according to Examples 1 to 4 had a ratio of average width to thickness of about 16.7 to about 60, the thermally conductive plate-shaped metal powders were molded to have an orientation in a horizontal direction in the nuclear fuel oxide mixtures, whereby a thermal conductivity enhancement effect were maximized. FIG. 4 illustrates optical microscope photographs of microstructures of the nuclear fuel pellets according to Examples 1 to 4 and Comparative Examples 1 and 2. As shown in FIG. 4, the nuclear fuel pellets according to Examples 1 to 4, which were manufactured using the thermally conductive plate-shaped metal powders (a ratio of average width to thickness was about 16.7 to about 60), were molded to have an orientation in a horizontal direction in the nuclear fuel oxide mixtures, thereby maximizing a thermal conductivity enhancement effect. In particular, it was confirmed that most of the thermally conductive plate-shaped metal powder could continuously form thermally conductive metal arrays in a horizontal direction through molding and heat treatment, and a sound nuclear fuel pellet, as in Examples 1 to 3, could be obtained also in the case in which Gd2O3 particles were included in the nuclear fuel pellet as in Example 4. On the other hand, with regard to the nuclear fuel pellet according to Comparative Example 1 in which a thermally conductive spherical metal powder was used instead of a thermally conductive plate-shaped metal powder, the thermally conductive spherical metal powder did not exhibit an orientation and did not continuously form thermally conductive metal arrays although it was subjected to molding and heat treatment, and a thermal conductivity enhancement effect was insignificant. In addition, in the case of the nuclear fuel pellet according to Comparative Example 2, in which a thermally conductive plate-shaped metal powder wherein a ratio of average width to thickness=about 383.3 was used, the thermally conductive plate-shaped metal powder caused crack generation in a nuclear fuel oxide matrix during a sintering process. Therefore, the nuclear fuel pellets according to Comparative Examples 1 and 2 have functional and structural problems in application as a nuclear fuel pellet having enhanced thermal conductivity. FIG. 5 is a graph illustrating normalized thermal conductivities of the nuclear fuel pellets according to Examples 1 to 3 and Comparative Example 1. From FIG. 5, it can be confirmed that an effect of enhancing the thermal conductivity of the nuclear fuel pellets according to Examples 1 to 3 is maximized with an increasing ratio of an average width to a thickness of the thermally conductive plate-shaped metal powder. On the other hand, it can be confirmed that, in the case of the nuclear fuel pellet according to Comparative Example 1 in which a thermally conductive spherical metal powder is used instead of a thermally conductive plate-shaped metal powder, a thermal conductivity enhancement effect is insignificant. FIG. 6 is a graph illustrating the thermal conductivity of the nuclear fuel pellet according to Example 4. From FIG. 6, it can be confirmed that, in the case of Example 4 in which Gd2O3 particles are included in a nuclear fuel pellet, a thermal conductivity enhancement effect is maximized. As described above, since the method of manufacturing a nuclear fuel pellet according to the present disclosure includes (a) a step of manufacturing a mixture including a nuclear fuel oxide powder and a thermally conductive plate-shaped metal powder; and (b) a step of molding and then heat-treating the thermally conductive plate-shaped metal powder to have an orientation in a horizontal direction in the mixture, thereby forming a pellet, the thermally conductive plate-shaped metal powder can mostly form thermally conductive metal arrays in a horizontal direction (i.e., in a radial direction from the center) by molding and heat treatment, and thus, a thermal conductivity enhancement effect can be provided even though the content of the thermally conductive plate-shaped metal powder is minimized. In particular, when a ratio of average width to thickness of the thermally conductive plate-shaped metal powder is 10 to 300, sound microstructures can be formed, without generation of cracks in a nuclear fuel oxide matrix during a sintering process, while maximizing a thermal conductivity enhancement effect. Accordingly, the structural integrity of a nuclear fuel pellet is not deteriorated. Accordingly, a nuclear fuel pellet manufactured according to the method can be easily applied to existing commercial nuclear fuel production facilities and can greatly improve nuclear fuel performance and safety under normal operation conditions and excessive conditions and in the case of an accident. Further, to utilize the nuclear fuel pellet as a combustible absorbing rod for controlling surplus reactivity of a nuclear reactor core, the nuclear fuel pellet includes or is coated with a combustible absorbent material such as gadolinium (Gd), boron (B), erbium (Er), or dysprosium (Dy) with high neutron absorption capacity, which can effectively address the problem that the thermal conductivity of a nuclear fuel pellet is decreased in proportion to the content of the combustible absorbent material. The aforementioned description of the present disclosure is provided by way of example and those skilled in the art will understand that the present disclosure can be easily changed or modified into other specified forms without change or modification of the technical spirit or essential characteristics of the present disclosure. Therefore, it should be understood that the aforementioned examples are only provided by way of example and not provided to limit the present disclosure.
047456310
summary
The present invention is directed to apparatus for generating radiation to detect objects, such as objects in a baggage detection type structure. In particular, the present invention is directed to a flying spot type generator in which a beam of radiation is formed into a flying spot of the radiation which passes throguh objects to be inspected and is thereafter detected. Flying spot type scanners have been suggested in the prior art, as may be seen in U.S. Pat. Nos. 3,808,444 to Schneeberger et al and 3,884,816 to Takahashi. Such previous schemes have suffered from the ability to produce output signals representing the entire dimension of the object being inspected. Moreover, large spot characteristics have been used which only achieves a coarse measurement. These prior art devices do not contribute to operable imaging systems. Further, prior art scanners utilizing a scanning pencil beam of x-rays may be seen in U.S. Pat. No. Re. 28,544. This device involves a rotating disk having slots at the edges to form an x-ray for beam into a scanning pencil beam. Such an arrangement is relatively complicated in forming a scanning flying spot of radiation. In the state of art radiographic security systems now used, such as used to inspect carry-on baggage for commercial airlines, semiconductor memory systems are provided to store a digitized, dissected x-ray image such as presented in U.S. application, Ser. No. 384,826, filed June 3, 1982, of which the present inventor is a co-inventor. Dissection of the image into its picture elements (pixels) is predominently achieved today in such arrangements by using a fan-shaped beam of x-rays through which the object passes on a conveyor belt , and a linear array of discrete x-ray detectors behind the object. This current technology uses on the order of 500 such discrete detectors which are usually photodiodes, and the subsequent electronic circuitry requires hundreds of current to voltage converters and preamplifiers, and several multiplexers. Such an arrangement is complex. The present invention resides in a greatly simplified arrangement. Namely, a flying spot of x-rays is generated from a cylindrical shell with helical slots in which image readout takes place in a single long detector. This results in a great simplification of the readout circuitry. Accordingly, the present invention defines a compact device for generating a flying spot of x-rays. This arrangement essentially resides in a structure for the formation of a coarse fan-beam of x-rays which is directed onto a rotating elongated cylinder having at least two helical slots to form a flying spot of x-rays. The flying spot passes through an object to be detected and is then detected by an elongated detector.
summary
039379698
summary
Radiation cameras typically employ a radiation collimator of some type between the raditation sensitive tranducer and the radioactive object under investigation. The most widely used radiation camera is the Anger-type scintillation camera (U.S. Pat. No. 3,011,057) which is employed in hospitals to obtain an image of the distribution of a radiopharmaceutical introduced into the body of a human patient. The purpose of a radiation collimator is to provide substantially one gamma ray transmissive passageway between each elemental volume of the radioactive object and a corresponding elemental volume of the transducer. The most commonly used collimator is the multichannel collimator which comprises a number of collimating apertures separated from each other by a volume of radiation--opaque material--most commonly lead. It is well known that radiation collimator design involves basically the parameters of aperture size and shape, septal thickness, and aperture length. These are the parameters which determine the resolution and efficiency of the collimator for gamma rays of a particular energy. In general, the septal thickness, which is the thickness of the walls separating adjacent collimating apertures, is chosen in accordance with the energies of gamma rays to be collimated so that the collimator will blcok substantially all gamma rays which enter the collimator at an angle and location such that they would otherwise tranverse the wall between two apertures. Thus the septal thickness must be relatively large for high energy gamma emitting isotopes, but for low energy isotopes the septum or wall between apertures may be quite thin. Indeed, it is desirable to employ only the septal thickness actually required for the gamma ray energy involved in order to avoid unnecessary loss of efficiency. Initially, all multi-channel collimators were of the parallel channel type. The first parallel channel collimators were cast-lead collimators. Later, extruded lead collimators consisting of comb-shaped pieces assembled to provide square-channels were designed to achieve better imaging efficiency for low energy isotopes. As imaging with technetium- 99m having a 140 KEV gamma energy began to dominate imaging procedures and improvements in resolution of radiation cameras were made, radiation collimators with very thin septa (i.e., about 0.010 inches) were needed to avoid loss of efficiency in imaging. Faced with this need, some investigators turned to the general approach of using corrugated foil of a material which is relatively opaque to low energy gamma rays. The corrugation approach would at first seem to offer a simple solution to the problem of constructing a collimator with septa of about 0.010 inch in thickness by enabling a large number of corrugated strips of metal foil to be mounted together in rows to build up a structure of the desired size. It turns out, however, that the use of a multiplicity of corrugated strips creates rather severe tolerance problems because the corrugations must be extremely uniform from strip-to-strip or they won't match up at the surfaces which are to be mated and fastened together throughout the length of each strip. The larger the collimator and the smaller the corrugation, the greater the tolerance problem. In addition, since lead is the preferred collimator material from a cost standpoint, the corrugating of lead foil which has a very low tensile strength creates an additional problem, especially when relatively large volume production is needed. The problems involved in employing corrugated lead foil in the construction of a low energy radiation collimator are solved in accordance with the present invention by mounting the corrugated strips of foil between successive straight strips of foil, thereby eliminating the basic tolerance problem in forming the corrugated strips so they can be matched up and in handling the rather easily distorted strips after they have been formed. In accordance with another aspect of this invention, this solution also leads to an improved method of constructing a corrugated-strip collimator using adhesives such as epoxy rather than welding or similar techniques. In addition, in accordance with a further aspect of this invention, the problem of forming corrugated lead strips is solved by using a highly advantageous new method in which straight strips of lead foil are corrugated by using a pair of substantially meshed gear-like members. These initially corrugated strips either are employed as is or, to produce a collimator with superior uniformity, are subjected to further forming by pressing them between male and female forming dies. The general techniques of this invention are also extremely useful in manufacturing corrugated diverging or converging collimators in an inexpensive manner. A diverging collimator has the multiple collimator channels focused at a point some distance away and arranged to diverge in the transducer to object sense so that objects larger than the transducer can be imaged. A converging collimator has the focused channels arranged to converge in the transducer to object sense so that objects smaller than the transducer are imaged in a magnified way. The first commercially available diverging collimator was designed for medium energy gamma rays because such a design employs thick septa between channels and can be made by conventional lead casting or drilling techniques. Attempts to use casting techniques to produce a low energy diverging collimator failed because void areas in the thin septa could not be avoided. An approach involving assembling about 15,000 individual thin-walled lead tubes, each having an appropriate taper to produce divergent channels, was successful, but turned out to be extremely costly to manufacture. Adapting the corrugated collimator approach to produce diverging and converging collimators reduces the manufacturing cost dramatically and produces a collimator which is virtually identical in performance to the multiple tapered tube design. To produce a collimator with converging channels a pair of gear-like members having tapered teeth are employed to produce initial corrugated strips which have tapered corrugations generally pointing to a common focus. The initial corrugated strips are pressed between a set of male and female forming dies to produce highly regular, tapered, triangular corrugations which focus to a point. These corrugated strips are mounted between straight strips, using an alignment fixture, and the taper of each strip causes the assembled strips to focus to a common line. The final collimator structure has collimating apertures which focus to a short line segment such that no observable distortion due to imperfect focus is noticeable.
062381385
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The instant invention relates generally to a method of disposing of nuclear waste and, more specifically, to disposing of nuclear waste in underground rock formations using multilateral boreholes. 2. Description of the Related Art Numerous methods for disposing of nuclear waste are provided in the art. For example, an existing disposal method for nuclear waste is to bury the waste in shallow vaults also known as deep vertical wells. This method places the waste in vertical silos drilled into a mountain by a tunnel boring machine. The storage chambers are to be drilled approximately 1,000 feet into the mountain and can cost billions of dollars. Another method proposed for disposing of nuclear waste is burial of the waste in suitable canisters in mud in the bottom of the ocean. This method is dangerous as the canisters may rupture and pollute the ocean, killing life found in the surrounding area. A further proposal for disposing of nuclear waste is to place the waste into specially designed modules and launch the modules into space using the space shuttle. The modules will then be propelled into the sun for final incineration. This system would cost many billions of dollars and thus is not very practical. It has also been proposed to bury the waste in near surface trenches or wells as used in landfills. This approach is not viable due to the great danger associated with disposing of the waste so close to the surface where leakage of the waste may do great harm to all life in the surrounding area. It has further been proposed to bury the waste in deep vertical wells which will be sealed with cement or mud. Burying the waste in the polar ice caps whereby the great masses of ice could enclose and isolate the radioactive material has also been proposed. The above described methods are all illustrative of prior art methods of nuclear waste disposal. While these methods may be suitable for the particular purpose to which they address, they would not be as suitable for the purposes of the present invention as heretofore described. SUMMARY OF THE INVENTION The present invention is concerned with disposing of nuclear waste and, more specifically, to a method of disposing of nuclear waste in underground rock formations using multilateral horizontal boreholes. A primary object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations. Another object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which will provide prolonged safety from the nuclear waste and added protection to human health and the environment. An additional object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which will provide protection in case of rupturing or leaking of the canister in which the waste is stored. Another object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which will provide safe storage of the waste for at least 10,000 years. A further object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which is impervious to surface effects such as flooding, glaciation or seismic interference. A still further object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which will bury the waste in horizontally extending boreholes positioned well below the earth's surface. An even further object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations which will drill a primary vertical wellbore and secondary horizontal laterals extending therefrom. A yet further object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations wherein the secondary laterals will include an inner lining made from layers of steel and lead. A still further object of the present invention is to provide a method of disposing of nuclear waste in underground rock formations wherein front and end plugs will be placed within the secondary laterals for retaining canisters filled with waste. A method of disposing nuclear waste in underground rock formations is disclosed by the present invention. The method includes the steps of selecting an area of land having a rock formation positioned therebelow. The rock formation must be of a depth able to prevent radioactive material placed therein from reaching the surface and must be at least a predetermined distance from active water sources and drilling a vertical wellbore from the surface of the selected area which extends into the underground rock formation. A primary horizontal lateral is drilled from the vertical wellbore whereby the surface of the horizontal lateral is defined by the underground rock formation. A steel casing is placed within the horizontal lateral and cemented in place by circulating cement in the annular space between the steel casing and the wall of the wellbore. Nuclear waste to be stored within the lateral is placed in a canister and the encapsulated nuclear waste is positioned within the primary horizontal lateral. The primary horizontal lateral is then filled with cement to seal the encapsulated nuclear waste therein. Additional primary horizontal laterals can be drilled from the vertical wellbore and secondary and tertiary horizontal laterals can be drilled from the primary horizontal lateral. Additional layers of lead, cement and steel may be used to cover the laterals and shield the rock formation from any radiation leakage. Furthermore, front and end plugs may be positioned at either end of the laterals, retaining the canisters therein and providing added protection from leakage of any solid, liquid or gaseous material. The foregoing and other objects, advantages and characterizing features will become apparent from the following description of certain illustrative embodiments of the invention. The novel features which are considered characteristic for the invention are set forth in the appended claims. The invention itself, however, both as to its construction and its method of operation, together with additional objects and advantages thereof, will be best understood from the following description of the specific embodiments when read and understood in connection with the accompanying drawings. Attention is called to the fact, however, that the drawings are illustrative only, and that changes may be made in the specific construction illustrated and described within the scope of the appended claims.
abstract
Example embodiments are directed to methods and apparatuses for generating desired isotopes within water rods of nuclear fuel assemblies. Example methods may include selecting a desired irradiation target based on the target's properties, loading the target into a target rod based on irradiation target and fuel assembly properties, exposing the target rod to neutron flux, and/or harvesting isotopes produced from the irradiation target from the target rod. Example embodiment target rods may house one or more irradiation targets of varying types and phases. Example embodiment securing devices include a ledge collar and/or bushing that support target rods within a water rod and permit moderator/coolant flow through the water rod. Other example embodiment securing devices include one or more washers with one or more apertures drilled therein to hold one or more example embodiment target rods in a water rod while permitting coolant/moderator to flow through the water rod.
description
The present application is a continuation of U.S. patent application Ser. No. 13/094,498, filed Apr. 26, 2011, now U.S. Pat. No. 8,351,562, which in turn is a continuation of U.S. patent application Ser. No. 11/953,207, filed Dec. 10, 2007, now U.S. Pat. No. 7,933,374, which in turn is a continuation of U.S. patent application Ser. No. 11/123,590, filed May 6, 2005, now U.S. Pat. No. 7,330,526, which in turn claims the benefit of U.S. Provisional Patent Application 60/665,108, filed Mar. 25, 2005 and U.S. Provisional Patent Application 60/671,552, filed Apr. 15, 2005, the entireties of which are hereby incorporated by reference. The present invention relates generally to the field of storing high level waste (“HLW”), and specifically to methods for storing HLW, such as spent nuclear fuel, in ventilated vertical modules. The storage, handling, and transfer of HLW, such as spent nuclear fuel, requires special care and procedural safeguards. For example, in the operation of nuclear reactors, it is customary to remove fuel assemblies after their energy has been depleted down to a predetermined level. Upon removal, this spent nuclear fuel is still highly radioactive and produces considerable heat, requiring that great care be taken in its packaging, transporting, and storing, in order to protect the environment from radiation exposure, spent nuclear fuel is first placed in a canister. The loaded canister is then transported and stored in large cylindrical containers called casks. A transfer cask is used to transport spent nuclear fuel from location to location while a storage cask is used to store spent nuclear fuel for a determined period of time. In a typical nuclear power plant, an open empty canister is first placed in an open transfer cask. The transfer cask and empty canister are then submerged in a pool of water. Spent nuclear fuel is loaded into the canister while the canister and transfer cask remain submerged in the pool of water. Once fully loaded with spent nuclear fuel, a lid is typically placed atop the canister while in the pool. The transfer cask and canister are then removed from the pool of water, the lid of the canister is welded thereon and a lid is installed on the transfer cask. The canister is then properly dewatered and tilled with inert gas. The transfer cask (which is holding the loaded canister) is then transported to a location where a storage cask is located. The loaded canister is then transferred from the transfer cask to the storage cask for long term storage. During transfer from the transfer cask to the storage cask, it is imperative that the loaded canister is not exposed to the environment. One type of storage cask is a ventilated vertical overpack (“VVO”). A VVO is a massive structure made principally from steel and concrete and is used to store a canister loaded with spent nuclear fuel (or other HLW). VVOs stand above, ground and are typically cylindrical in shape and extremely heavy, weighing over 150 tons and often having a height greater than 16 feet. VVOs typically have a flat bottom, a cylindrical body having a cavity to receive a canister of spent nuclear fuel, and a removable top lid. In using a VVO to store spent nuclear fuel, a canister loaded, with spent nuclear fuel is placed in the cavity of the cylindrical body of the VVO. Because the spent nuclear fuel is still producing a considerable amount of heat when it is placed in the VVO for storage, it is necessary that this heat energy have a means to escape from the VVO cavity. This heat energy is removed from the outside surface of the canister by ventilating the VVO cavity. in ventilating the VVO cavity, cool air enters the VVO chamber through bottom ventilation ducts, flows upward past the loaded canister, and exits the VVO at an elevated temperature through top ventilation ducts. The bottom and top ventilation ducts of existing VVOs are located circumferentially near the bottom and top of the VVOs cylindrical body respectively, as illustrated in FIG. 1. While it is necessary that the VVO cavity be vented so that heat can escape from the canister, it is also imperative that the VVO provide adequate radiation shielding and that the spent nuclear fuel not be directly exposed to the external environment. The inlet duct located near the bottom of the overpack is a particularly vulnerable source of radiation exposure to security and surveillance personnel who, in order to monitor the loaded overpacks, must place themselves in close vicinity of the ducts for short durations. Additionally, when a canister loaded with spent nuclear fuel is transferred from a transfer cask to a storage VVO, the transfer cask is stacked atop the storage VVO so that the canister can be lowered into the storage VVO's cavity. Most casks are very large structures and can weigh up to 250,000 lbs. and have a height of 16 ft. or more. Stacking a transfer cask atop a storage VVO/cask requires a lot of space, a large overhead crane, and possibly a restraint system for stabilization. Often, such space is not available inside a nuclear power plant. Finally, above ground storage VVOs stand at least 16 feet above ground, thus, presenting a sizable target of attack to a terrorist. FIG. 1 illustrates a traditional prior art VVO 2. Prior art VVO 2 comprises flat bottom 17, cylindrical body 12, and lid 14. Lid 14 is secured to cylindrical body 12 by bolts 18. Bolts 18 serve to restrain separation of lid 14 from body 12 if prior art VVO 2 were to tip over. Cylindrical body 12 has top ventilation ducts 15 and bottom ventilation ducts 16. Top ventilation ducts 15 are located at or near the top of cylindrical body 12 while bottom ventilation ducts 16 are located, at or near the bottom of cylindrical body 12. Both bottom ventilation ducts 16 and top ventilation ducts 15 are located around the circumference of the cylindrical body 12. The entirety of prior art VVO 2 is positioned above grade. As understood by those skilled in the art, the existence of the top ventilation ducts 15 and/or the bottom ventilation ducts 16 in the body 12 of the prior art VVO 2 require additional safeguards during loading procedures to avoid radiation shine. It is an object of the present invention to provide a system and method for storing HLW that reduces the height of the stack assembly when a transfer cask is stacked atop a storage VVO. It is another object of the present invention to provide a system and method for storing HLW that requires less vertical space. Yet another object of the present invention is to provide a system and method for storing HLW that utilizes the radiation shielding properties of the subgrade during storage while providing adequate ventilation of the high level waste. A further object of the present invention is to provide a system and method for storing HLW that provides the same or greater level of operational safeguards that are available inside a fully certified nuclear power plant structure. A still further object of the present invention is to provide a system and method for storing HLW that decreases the dangers presented by earthquakes and other catastrophic events and virtually eliminates the potential of damage from a World Trade Center or Pentagon type of attack on the stored canister. It is also an object of the present invention to provide a system and method for storing HLW that allows for an ergonomic transfer of the HLW from a transfer cask to a storage container. Another object of the present invention is to provide a system and method for storing HLW below or above grade, Yet another object of the present invention is to provide a system and method of storing HLW that reduces the amount of radiation emitted to the environment. Still another object of the present invention is to provide a system and method of storing HLW that eliminates the dangers of radiation shine during loading procedures and/or subsequent storage. A still further object of the present invention is to provide a system and method of storing HLW that locates openings for both the inlet and outlet vents in a removable lid. A yet further object of the present invention is to provide a system and method of storing HLW that leads to convenient manufacture and site construction. These and other objects are met by the present invention which, in some embodiments, is a system for storing high level waste comprising: an inner shell forming a cavity for receiving high level waste, the cavity having a top and a bottom; an outer shell surrounding the inner shell so as to firm a space between the inner shell and the outer shell; at least one opening in the inner shell at or near the bottom of the cavity, the at least one opening forming a passageway from the space into the cavity; a lid positioned atop the inner and outer shells, the lid having at least one inlet vent forming a passageway from an ambient atmosphere to the space and at least one outlet vent forming a passageway from the cavity to the ambient atmosphere. Depending on the exact storage needs, the apparatus can be adapted for either above or below grade storage of high level waste. In other embodiments, the invention is a method of storing high level waste comprising: (a) providing an apparatus comprising an inner shell forming a cavity having a top and a bottom, an outer shell concentric with and surrounding the inner shell so as to form a space therebetween, and at least one opening in the inner shell at or near the bottom of the cavity, the at least one opening forming a passageway from the space into the cavity; (b) placing a canister of high level waste into the cavity; (c) providing a lid having at least one inlet vent and at least one outlet vent; (d) positioning the lid atop the inner and outer shells so that the at least one inlet vent forms a passageway from an ambient atmosphere to the space and the at least one outlet vent forms a passageway from the cavity to the ambient atmosphere; and (e) cool air entering the cavity via the at least inlet vent and the space, the cool air being warmed by the canister of high level waste, and exiting the cavity via the at least one outlet vent in the lid. In still other embodiments, the invention is a system for storing high level waste comprising: an inner shell forming a cavity for receiving high level waste, the cavity having a top and a bottom; an outer shell surrounding the inner shell so as to form a space between the inner shell and the outer shell; a floor plate, the inner and outer shells positioned atop and connected to the floor plate; and at least one opening in the inner shell at or near the bottom of the cavity, the at least one opening forming a passageway from the space into the cavity. In yet another embodiment, the invention can be a system for storing high level radioactive waste comprising: an outer shell having an open top end and a hermetically closed bottom end; an inner shell forming a cavity, the inner shell positioned inside the outer shell so as to form a space between the inner shell and the outer shell; at least one passageway connecting the space and a bottom portion of the cavity; at least one passageway connecting an ambient atmosphere and a top portion of the space; a lid positioned atop the inner shell, the lid having at least one passageway connecting the cavity and the ambient atmosphere; and a seal between the lid and the inner shell so at form a hermetic lid-to-inner shell interface. In still another embodiment, the invention can be a system for storing high level radioactive comprising: a metal plate; a first metal tubular shell having a top end and a bottom end, the metal plate connected to the bottom end of the first metal tubular shell so as to hermetically close the bottom end of the first metal tubular shell; a second metal tubular shell forming a cavity, the second metal tubular shell positioned within the first metal tubular shell so as to form a space between the first metal tubular shell and the second metal tubular shell; at least one opening in the second tubular shell that forms a passageway connecting the space and a bottom portion of the cavity, a lid comprising a plug portion and a flange portion surrounding the plug portion, the plug portion extending into the cavity and the flange portion resting, atop the inner shell and the outer shell; at least one passageway connecting the cavity and the ambient atmosphere; and at least one passageway connecting the space and the ambient atmosphere. In a further embodiment, the invention can also be a system for storing high level radioactive comprising: a metal plate; a first metal tubular shell having a top end and a bottom end, the metal plate seal welded to the bottom end of the first metal tubular shell so as to hermetically close the bottom end of the first metal tubular shell; a second metal tubular shell forming a cavity and having a top end and a bottom end having at least one cutout; and the second metal tubular shell located within the first metal tubular shell so as to form an annular space between the first metal tubular shell and the second metal tubular shell, the at least one cutout forming a passageway connecting the space and a bottom portion of the cavity. In a still further embodiment, the invention can be a method of storing high level radioactive waste comprising: (a) providing a container comprising an outer shell having an open top end and a hermetically closed bottom end, an inner shell forming a cavity, the inner shell positioned within the outer shell so as to form a space between the inner shell and the outer shell, and at least one opening in the inner shell that connects the space and a bottom portion of the cavity; (b) lowering a hermetically sealed canister holding high level radioactive waste into the cavity via the open top end; (c) providing a lid having at least one inlet vent and at least one outlet vent; (d) positioning a lid atop the inner and outer shells so that the at least one inlet vent forms a passageway from an ambient atmosphere to the space and the at least one outlet vent forms a passageway from the cavity to the ambient atmosphere, the lid substantially enclosing the open top end; and (e) cool air entering the cavity via the at least outlet vent and the space, the cool air being warmed by the canister of high level waste, and exiting the cavity via the at least one outlet vent in the lid. In a yet further aspect, the invention can be a method of storing high level radioactive waste comprising: (a) providing a body portion comprising a floor, an open top end, an inner shell extending upward from the floor and forming a cavity, an outer shell extending upward from the floor and surrounding the inner shell so as to form a space therebetween, and at least one opening in the inner shell that forms a passageway from a bottom of the space into a bottom of the cavity; (b) placing a canister containing high level radioactive waste into the cavity; and (c) positioning a lid having at least one outlet vent atop the inner and outer shells so as to enclose the open top end of the body portion and the at least one outlet vent forms a passageway from a top of the cavity to the ambient atmosphere; and wherein at least one inlet vent forms a passageway from an ambient atmosphere to a top of the space to facilitate natural convective cooling of the canister containing high level radioactive waste. FIG. 2 illustrates a high level waste (“HLW”) storage container 100 designed according to an embodiment of the present invention. While the HLW storage container 100 will be described, in terms of being used to store a canister of spent nuclear fuel, it will be appreciated by those skilled in the art that the systems and methods described herein can be used to store any and all kinds of HLW. The HLW storage container 100 is designed to be a vertical, ventilated dry system for storing HLW such as spent fuel. The HLW storage container 100 is fully compatible with 100 ton and 125 ton transfer casks for HLW transfer procedures, such as spent fuel canister transfer operations. All spent fuel canister types engineered, for storage in free-standing, below grade, and/or anchored overpack models can be stored in the HLW storage container 100. As used herein the term “canister” broadly includes any spent fuel containment apparatus, including, without limitation, multi-purpose canisters and thermally conductive casks. For example, in some areas of the world, spent fuel is transferred and stored in metal casks having a honeycomb grid-work/basket built directly into the metal cask. Such casks and similar containment apparatus qualify as canisters, as that term is used herein, and can be used in conjunction with the HLW storage container 100 can as discussed below. The HLW storage container 100 can be modified/designed to be compatible with any size or style of transfer cask. The HLW storage container 100 can also be designed to accept spent fuel canisters for storage at an Independent Spent Fuel Storage Installations (“ISFSI”), ISFSIs employing the HLW storage container 100 can be designed to accommodate any number of the HLW storage container 100 and can be expanded to add additional HLW storage containers 100 as the need arises. In ISFSIs utilizing a plurality of the HLW storage container 100, each HLW storage container 100 functions completely independent form any other HLW storage container 100 at the ISFSI. The HLW storage container 100 comprises a body portion 20 and it lid 30. The body portion 20 comprises a floor plate 50. The floor plate 50 has a plurality of anchors 51 mounted thereto for securing the HLW storage container HLW to a base, floor, or other stabilization structure. The lid 30 rests atop and is removable/detachable from the body portion 20. As will be discussed in greater detail below, the HLW storage container 100 can be adapted for use as an above or below grade storage system. Referring now to FIG. 3, the body portion 20 comprises an outer shell 21 and an inner shell 22. The outer shell 21 surrounds the inner shell 22, forming a space 23 therebetween. The outer shell 21 and the inner shell 22 are generally cylindrical in shape and concentric with one another. As a result, the space 23 is an annular space. While the shape of the inner and outer shells 22, 21 is cylindrical in the illustrated embodiment, the shells can take on any shape, including without limitation rectangular, conical, hexagonal, or irregularly shaped. In some embodiments, the inner and outer shells 22, 22 will not be concentrically oriented. As will be discussed in greater detail below, the space 23 formed between the inner shell 22 and the outer shell 21 acts as a passageway for cool air. The exact width of the space 23 for any HLW storage container 100 is determined, on a cases-by-case design basis, considering such factors as the heat load of the HLW to be stored, the temperature of the cool ambient air, and the desired fluid flow dynamics. In some embodiments, the width of the space 23 will be in the range of 1 to 6 inches. While the width of space 23 can vary circumferentially, it may be desirable to design the HLW storage container 100 so that the width of the space 23 is generally constant in order to effectuate symmetric cooling of the HLW container and even fluid flow of the incoming air. The inner shell 22 and the outer shell 21 are secured atop floor plate 50. The floor plate 50 is square in shape but can take on any desired shape. A plurality of spacers 27 are secured atop the floor plate 50 within the space 23. The spacers 27 act as guides during placement of the inner and outer shells 22, 21 atop the floor plate 50 and ensure that the integrity of the space 23 is maintained throughout the life of the HLW storage container 100. The spacers 27 can be constructed of low carbon steel or another material and welded to the floor plate 50. Preferably, the outer shell 21 is seal joined to the floor plate 50 at all points of contact, thereby hermetically sealing the HLW storage container 100 to the ingress of fluids through these junctures. In the case of weldable metals, this seal joining may comprise welding or the use of gaskets. Most preferably, the outer shell 21 is integrally welded to the floor plate 50. A ring flange 77 is provided around the top of the outer shell 21 to stiffen the outer shell 21 so that it does not buckle or substantially deform under loading conditions. The ring flange 77 can be integrally welded to the top of the outer shell 21. The inner shell 22 is laterally and rotationally restrained in the horizontal plane at its bottom by the spacers 27 and support blocks 52. The inner shell 22 is preferably not welded or otherwise permanently secured to the bottom plate 50 or outer shell 21 so as to permit convenient removal for decommissioning, and if required, for maintenance. The bottom edge of the inner shell 22 is equipped with a tubular guide (not illustrated) that also provides flexibility to permit the inner shell 22 to expand from its contact with the air heated by the canister in the cavity 24 without inducing excessive upward force on the lid 30. The inner shell 22, the outer shell 21, the floor plate 50, and the ring flange 77 are preferably constructed of a metal, such as a thick low carbon steel, but can be made of other materials, such as stainless steel, aluminum, aluminum-alloys, plastics, and the like. Suitable low carbon steels include, without limitation, ASTM A516, Gr, 70, A515 Gr, 70 or equal. The desired thickness of the inner and outer shells 22, 21 is matter of design and will determined on a case by case basis. However, in some embodiments, the inner and outer shells 22, 22 will have a thickness between ½ to 3 inches. The inner shell 22 forms a cavity 24. The size and shape of the cavity 24 is not limiting of the present invention. However, it is preferred that the inner shell 22 be selected so that the cavity 24 is sized and shaped so that it can accommodate a canister of spent nuclear fuel or other HLW. While not necessary to practice the invention, it is preferred that the horizontal cross-sectional size and shape of the cavity 24 be designed to generally correspond to the horizontal cross-sectional size and shape of the canister-type that is to be used in conjunction with that particular HLW storage container 100. More specifically, it is desirable that the size and shape of the cavity 24 be designed so that when a canister containing HLW is positioned in cavity 24 for storage (as illustrated in FIG. 8), a small clearance exists between the outer side walls of the canister and the side walls of the cavity 24. Designing the cavity 24 so that a small clearance is formed between the side walls of the stored canister and the side walls of the cavity 24 limits the degree the canister can move within the cavity during a catastrophic event, thereby minimizing damage to the canister and the cavity walls and prohibiting the canister from tipping over within the cavity. This small clearance also facilitates flow of the heated air during HLW cooling. The exact size of the clearance can be controlled/designed to achieve the desired fluid flow dynamics and heat transfer capabilities for any given situation, in some embodiments, for example, the clearance may be 1 to 3 inches. A small clearance also reduces radiation streaming. The inner shell 22 is also equipped with equispaced longitudinal ribs (not illustrated) at an elevation that is aligned with the top lid of a canister of HLW stored in the cavity 24. These ribs provide a means to guide a canister of HLW down into the cavity 24 so that the canister properly rests atop the support blocks 52. The ribs also serve to limit the canister's lateral movement during an earthquake or other catastrophic event to a fraction of an inch, A plurality of openings 25 are provided in the inner shell 22 at or near its bottom. The openings 25 provide a passageway between the annular space 23 and the bottom of the cavity 24. The openings 25 provide passageways by which fluids, such as air, can pass from the annular space 23 into the cavity 24. The opening 25 are used to facilitate the inlet of cool ambient air into the cavity 24 for cooling stored HLW having a heat load. In the illustrated embodiment, six opening 25 are provided. However, any number of openings 25 can be provided. The exact number will be determined on a case-by-case basis and will dictated by such consideration as the heat load of the HLW, desired fluid flow dynamics, etc. Moreover, while the openings 25 are illustrated as being located in the side wall of the inner shell 22, the openings 25 can be provided in the floor plate 50 in certain modified embodiments of the HLW storage container 100. In some embodiments, the openings 25 may be symmetrically located around the bottom of the inner shell 22 in a circumferential orientation to enable the incoming cool air streaming down the annular space 23 to enter the cavity 24 in a symmetric manner. The opening 25 in the inner shell 22 are sufficiently tall to ensure that if the cavity 24 were to become filled with water, the bottom region of a canister resting on the support blocks 52 would be submerged for several inches before the water level reaches the top edge of the openings 25. This design feature ensures thermal performance of the system under any conceivable accidental flooding of the cavity 24 by any means whatsoever. A layer of insulation 26 is provided around the outside surface of the inner shell 22 within the annular space 23. The insulation 26 is provided to minimize the heat-up of the incoming cooling air in the space 23 before it enters the cavity 24. The insulation 26 helps ensure that the heated air rising around a canister situated in the cavity 24 causes minimal pre-heating of the downdraft cool air in the annular space 23. The insulation 26 is preferably chosen so that it is water and radiation resistant and undegradable by accidental wetting. Suitable forms of insulation include, without limitation, blankets of alumina-silica fire clay (Kaowool Blanket), oxides of alumina and silica (Kaowool S Blanket), alumina-silica-zirconia fiber (Cerablanket), and alumina-silica-chromia (Cerachrome Blanket). The desired thickness of the layer of insulation 26 is matter of design and will be dictated by such considerations such as the heat load of the HLW, the thickness of the shells, and the type of insulation used. In some embodiments, the insulation will have a thickness in the range ½ to 6 inches. A plurality of support blocks 52 are provided on the floor (formed by floor plate 50) of the cavity 24. The support blocks 52 are provided on the floor of cavity 24 so that a canister holding HLW, such as spent nuclear fuel, can be placed thereon. The support blocks 52 are circumferentially spaced from one another and positioned between each of the openings 25 near the six sectors of the inner shell 22 that contact the bottom plate 50. When a canister holding HLW is loaded into the cavity 24 for storage, the bottom surface of the canister rests atop the support blocks 52, forming an inlet air plenum between the bottom surface of the HLW canister and the floor of cavity 24. This inlet air plenum contributes to the fluid flow and proper cooling of the canister. The support blocks 52 can be made of low carbon steel and are preferably welded to the floor of the cavity 24. In some embodiments, the top surfaces of the support blocks 52 will be equipped with a stainless steel liner so that the canister of HLW does not rest on a carbon steel surface. Other suitable materials of construction for the support blocks 52 include, without limitation, reinforced-concrete, stainless steel, plastics, and other metal alloys. The support blocks 52 also serve an energy/impact absorbing function. In some embodiments, the support blocks 52 are preferably of a honeycomb grid style, such as those manufactured by Hexcel Corp., out of California, U.S. The lid 30 rests atop and is supported by the tops edges of the inner and outer shells 22, 21. The lid 30 encloses the top of the cavity 24 and provides the necessary radiation shielding so that radiation can not escape from the top of the cavity 24 when a canister loaded with HEW is stored therein. The lid 30 is specially designed to facilitate in both the introduction of cool air to the space 23 (for subsequent introduction to the cavity 24) and the release of warmed air from the cavity 24. In some embodiments, the invention is the lid itself, independent of all other aspects of the HLW storage container 100. FIGS. 4 and 5 illustrate the lid 30 in detail according to an embodiment of the present invention. In some embodiments, the lid 30 will be a steel structure filled with shielding concrete. The design of the lid 30 is preferably designed to fulfill a number of performance objectives. Referring first to FIG. 4, a top perspective view of the lid 30 removed from the body portion 20 of the HLW storage container 100 is illustrated. In order to provide the requisite radiation shielding, the lid 30 is constructed of a combination of low carbon steel and concrete. More specifically, in constructing one embodiment of the lid 30, a steel lining is provided and filled with concrete (or another radiation absorbing material). In other embodiments, lid 30 can be constructed of a wide variety of materials, including without limitation metals, stainless steel, aluminum, aluminum-alloys, plastics, and the like. In some embodiments, the lid may be constructed of a single piece of material, such as concrete or steel for example. The lid 30 comprises a flange portion 31 and a plug portion 32. The plug portion 32 extends downward from the flange portion 31. The flange portion 31 surrounds the plug portion 32, extending therefrom in a radial direction. A plurality of inlet vents 33 are provided in the lid 30. The inlet vents 33 are circumferentially located around the lid 30. Each inlet vent 30 provides a passageway from an opening 34 in the side wall 35 to an opening 36 in the bottom surface 37 of the flange portion 31. A plurality of outlet vents 38 are provided in the lid 30. Each outlet vent 38 forms a passageway from an opening 39 in the bottom surface 40 of the plug portion 32 to an opening 41 in the top surface 42 of the lid 30. A cap 43 is provided over opening 41 to prevent rain water or other debris from entering and/or blocking the outlet vents 38. The cap 43 is secured to the lid 30 via bolts 70 or through any other suitable connection, including without limitation welding, clamping, a tight fit, screwing, etc. The cap 43 is designed to prohibit rain water and other debris from entering into the opening 41 while affording, heated air that enters the opening 41 to escape therefrom. In one embodiment, this can be achieved by providing a plurality of small holes (not illustrated) in the wall 44 of the cap 43 just below the overhang of the roof 45 of the cap. In other embodiments, this can be achieved by non-hermetically connecting the roof 45 of the cap 43 to the wall 44 and/or constructing the cap 43 (or portions thereof) out of material that is permeable only to gases. The opening 41 is located in the center of the lid 30. By locating both the inlet vents 30 and outlet vents 38 in the lid 30, there is no lateral radiation leakage path during the lowering or raising of a canister of HLW in the cavity 24 during loading and unloading operations. Thus, the need for shield blocking, which is necessary in some prior art VVOs is eliminated. Both the inlet vents 30 and the outlet vents 38 are preferably radially symmetric so that the air cooling action in the system is not affected by the change in the horizontal direction of the wind. Moreover, by locating the opening 34 of the inlet vent 30 at the periphery of the lid 30 and the opening 41 for the outlet vents 38 at the top central axis of the lid, mixing of the entering cool air stream and the exiting warm air stream is essentially eliminated. In order to further protect against rain water or other debris entering opening 41, the top surface 42 of the lid 30 is curved and sloped away from the opening 41 (i.e., downward and outward). Positioning the opening 41 away from the openings 34 helps prevent the heated air that exits via the outlet vents 38 from being drawn back into the inlet vents 35. The top surface 42 of the lid 30 (which acts as a roof) overhangs beyond the side wall 35 of the flange portion 31, thereby helping to prohibit rain water and other debris from entering the inlet vents 33. The overhang also helps prohibit mixing of the cool and heated air streams. The curved shape of the increases the load bearing capacity of the lid 30 much in the manner that a curved beam exhibits considerably greater lateral load bearing capacity than its straight counterpart. The outlet vents 38 are specifically curved so that a line of sight does not exist therethrough. This prohibits a line of sight from existing from the ambient air to an HLW canister that is loaded in the HLW storage container 100, thereby eliminating radiation shine into the environment. In other embodiments, the outlet vents may be angled or sufficiently tilted so that such a line of sight does not exist. The inlet vents 33 are in a substantially horizontal orientation. However, the shape and orientation of the inlet and outlet vents 33, 38 can be varied. The inlet and outlet vents 30, 38 are made of “formed and flued” heads (i.e., surfaces of revolution) that serve three major design objectives. First, the curved shape of the inlet and outlet vents 30, 38 eliminate any direct line of sight from the cavity 24 and serve as an effective means to scatter the photons streaming from the HLW. Second, the curved steel plates 78 that form outlet vent passageway 38 significantly increase the load bearing capacity of the lid 30 much in the manner that a curved beam exhibits considerably greater lateral load bearing capacity in comparison to its straight counterpart. This design feature is a valuable attribute if a beyond-the-design basis impact scenario involving a large and energetic missile needs to be evaluated for a particular ISFSI site. Third, the curved nature of the inlet vents 30 provide for minimum loss of pressure in the coolant air stream, resulting in a more vigorous ventilation action. In some embodiments it may be preferable to provide screens covering all of the openings into the inlet and outlet vents 30, 38 to prevent debris, insects, and small animals from entering the cavity 24 or the vents 30, 38. Referring now to FIG. 5, the lid 30 further comprises a first gasket seal 46 and a second gasket seal 47 on the bottom surface 37 of the flange portion 31. The gaskets 46, 47 are preferably constructed of a radiation resistant material. When the lid 30 is positioned atop the body portion 20 of the HLW storage container 100 (as shown in FIG. 3), the first gasket seal 46 is compressed between the bottom surface 37 of the flange portion 31 of the lid 30 and the top edge of the inner shell 22, thereby forming a seal. Similarly, when the lid 30 is positioned atop the body portion 20 of the HLW storage container 100, the second gasket seal 47 is compressed between the bottom surface 37 of the flange portion 31 of the lid 30 and the top edge of the outer shell 21, thereby forming a second seal. A container ring 48 is provided on the bottom surface 35 of the flange portion 31. The container ring 48 is designed to extend downward from the bottom surface 35 and peripherally surround and engage the outside surface of the top of the outer shell 22 when the lid 30 is positioned atop the body portion 20 of the HLW storage container 100, as shown in FIG. 3. Referring again to FIG. 1 the cooperational relationship of the elements of the lid 30 and the elements of the body portion 20 will now be described. When the lid 30 is properly positioned atop the body portion 20 of the HLW storage container 100 (e.g., during the storage of a canister loaded with HLW), the plug portion 32 of the lid 30 is lowered into the cavity 24 until the flange portion 31 of the lid 30 contacts and rests atop the inner shell 22 and the flange ring 77. The flange portion 31 eliminates the danger of the lid 30 falling into the cavity 24. When the lid 30 is positioned atop the body portion 20, the first and second gasket seals 46, 47 are respectively compressed between the flange portion 31 of the lid 30 and the top edges of the inner and outer shells 22, 21, thereby forming hermetically sealed interfaces. The first gasket 46 provides a positive seal at the lid/inner shell interface, prohibiting mixing of the cool air inflow stream through the annular space 23 and the warm air outflow stream at the top of the cavity 24. The second gasket 47 provides a seal at the lid/outer shell interface, providing protection against floodwater that may rise above the flange ring 77 itself. The container flange 48 surrounds and peripherally engages the flange ring 77. The flange ring 77 restrains the lid 30 against horizontal movement, even during design basis earthquake events. When so engaged, the lid 30 retains the top of the inner shell 22 against lateral, axial movement. The lid 30 also provides stability, shape, and proper alignment/orientation of the inner and outer shells 22, 21. The extension of plug portion 32 of the lid 30 into the cavity 24 helps reduce the overall height of the HLW storage container 100. Because the plug portion 32 is made of steel filled with shielding concrete, the plug portion 32 blocks the skyward radiation emanating from a canister of HLW from escaping into the environment. The height of the plug portion 32 is designed so that if the lid 30 were accidentally dropped during its handling, it would not contact the top of a canister of HLW positioned in the cavity 24. When the lid 30 is positioned atop the body portion 20, the inlet vents 33 are in spatial cooperation with the space 23 formed between the inner and outer shells 22, 21. The outlet vents 38 are in spatial cooperation with the cavity 24. As a result, cool ambient air can enter the HLW storage container 100 through the inlet vents 33, flow into the space 23, and into the bottom of the cavity 24 via the openings 25. When a canister containing HLW having, a heat load is supported within the cavity 24, this cool air is warmed by the HLW canister, rises within the cavity 24, and exits the cavity 24 via the outlet ducts 38. Because the openings 34 (best visible in FIG. 4) of the inlet vents 30 extend around the circumference of the lid 30, the hydraulic resistance to the incoming air flow, a common limitation in ventilated modules, is minimized. Circumferentially circumscribing the openings 34 of the inlet vents 30 also results in the inlet vents 30 being less apt to becoming completely blocked under even the most extreme environmental phenomena involving, substantial quantities of debris. Similar air flow resistance minimization is built into the design of the inlet vents 38 for the exiting air. As mentioned above, the HLW storage container 100 can be adapted for either above or below grade storage of HLW. When adapted for above grade storage of HLW, the HLW storage container 100 will further comprises a radiation absorbing structure/body surrounding the body portion 20. The radiation absorbing structure will be of a material, and of sufficient thickness so that radiation emanating from the HLW canister is sufficiently absorbed/contained. In some embodiments, the radiation absorbing structure can be a concrete monolith. Moreover, in some embodiment, the outer shell may be formed by an inner wall of the radiation absorbing structure itself. Referring now to FIGS. 6 and 7, the adaptation and use of the HLW storage container 100 for the below grade storage of HLW at an ISFSI, or other location will be described, according to one embodiment of the present invention. Referring to FIG. 6, a hole is first dug into the ground at a desired position within the ISFSI and at a desired depth. Once the hole is dug, and its bottom properly leveled, a base 61 is placed at the bottom of hole. The base 61 is a reinforced concrete slab designed to satisfy the load combinations of recognized industry standards, such as ACI-349. However, in some embodiments, depending on the load to be supported and/or the ground characteristics, the use of a base may be unnecessary. The base 61 designed to meet certain structural criteria and to prevent long-term settlement and physical degradation from aggressive attack of the materials in the surrounding sub-grade. Once the base 61 is properly positioned in the hole, the HLW storage container 100 is lowered into the hole in a vertical orientation until it rests atop the base 61. The floor plate 50 contacts and rests atop the top surface of base 61. The floor plate 50 is then secured to the base 61 via anchors 51 to prohibit future movement of the HLW storage container 100 with respect to the base 61. The hole is preferably dug so that when the HLW storage container 100 is positioned therein, at least a majority of the inner and outer shells 22, 21 are below ground level 62. Most preferably, the hole is dug so that only 1 to 4 feet of the inner and outer shells 22, 21 are above ground level 61 when the HLW storage container 100 is resting atop base 61 in the vertical orientation. In some embodiments, the hole may be dug sufficiently deep that the top edges of the inner and outer shells 22, 21 are flush with the ground level 62. In the illustrated embodiment, about 32 inches of the inner and outer shells 22, 21 protrude above the around level 62. An appropriate preservative, such as a coal tar epoxy or the like, can be applied to the exposed surfaces of outer shell 21 and the floor plate 50 in order to ensure sealing, to decrease decay of the materials, and to protect against fire and the ingress of below grade fluids. A suitable coal tar epoxy is produced by Carboline Company out of St. Louis, Mo. under the tradename Bitumastic 300M. In some embodiments, it may be preferable to also coat all surfaces of both the inner shell 22 and the outer shell 21 with the preservative, even though these surfaces are not directly exposed to the elements. Once the HLW storage container 100 is resting atop base 61 in the vertical orientation, soil 60 is delivered into the hole exterior of the HLW storage container 100, thereby filling the hole with soil 60 and burying a major portion of the HLW integral structure 100. While soil 60 is exemplified to fill the hole and surround the HLW storage container 100, any suitable engineered fill can be used that meets environmental and shielding requirements. Other suitable engineered fills include, without limitation, gravel, crushed rock, concrete, sand, and the like. Moreover, the desired engineered fill can be supplied to the hole by any means feasible, including manually, dumping, and the like. The soil 60 is supplied to the hole until the soil 60 surrounds the HLW storage container 100 and fills the hole to a level where the soil 60 is approximately equal to the around level 62. The soil 60 is in direct contact with the exterior surfaces of the HLW storage container 100 that are below grade. A radiation absorbing structure, such as a concrete pad 63, is provided around the portion of the outer shell 21 that protrudes above the ground level 62. The ring flange 77 of the outer shell 21 rests atop the top surface of the concrete pad 63. The concrete pad 63 is designed so as to be capable of providing the necessary radiation shielding for the portion of the HLW storage container 100 that protrudes from the ground. The top surface of the pad 63 also provides a riding surface for a cask crawler (or other device for transporting a transfer cask) during HLW transfer operations. The soil 60 provides the radiation shielding for the portion of the HLW storage container 100 that is below the ground level 62. The pad 63 also acts as a barrier membrane against gravity induced seepage of rain or flood water around the below grade portion of the HLW storage container 100. A top view of the concrete pad 63 is shown in FIG. 7. While the pad 63 is preferably made of a reinforced concrete, the pad 63 can be made out of any material capable of suitably absorbing/containing the radiation being emitted by the HLW being stored in the cavity 24. Referring again to FIG. 6, when the HLW storage apparatus 100 is adapted for the below grade storage of HLW and the lid 30 removed, the HLW storage apparatus 100 is a closed bottom, open top, thick walled cylindrical vessel that has no below grade penetrations or openings. Thus, ground water has no path for intrusion into the cavity 24. Likewise, any water that may be introduced into the cavity 24 through the inlet and outlet vents 33, 38 in the lid 30 will not drain out on its own. Once the concrete pad 63 is in place, the lid 30 is placed atop the inner and outer shells 22, 21 as described above. Because the lid 30, which includes the openings of the inlet and outlet vents 33, 38 to the ambient, is located above grade, a hot canister of HLW can be stored in the cavity 24 below grade while still affording adequate ventilation of the canister for heat removal. Referring now to FIG. 8, the process of storing a canister 90 loaded with hot HLW in a below grade HEM storage container 100 will be discussed. Upon being removed from a spent fuel pool and treated for dry storage, a canister 90 is positioned in a transfer cask. The transfer cask is carried by a cask crawler to a desired HLW storage container 100 for storage. While a cask crawler is exemplified, any suitable means of transporting, a transfer cask can be used. For example, any suitable type of load-handling device, such as without limitation, a gantry crane, overhead crane, or other crane device can be used. In preparing the desired HLW storage container 100 to receive the canister 90, the lid 30 is removed so that cavity 24 is open. The cask crawler positions the transfer cask atop the underground HLW storage container 100. After the transfer cask is properly secured to the top of the underground HLW storage container 100, a bottom plate of the transfer cask is removed. If necessary, a suitable mating device can be used to secure the connection of the transfer cask to the HLW storage container 100 and to remove the bottom plate of the transfer cask to an unobtrusive position. Such mating devices are well known in the art and are often used in canister transfer procedures. The canister 90 is then lowered by the cask crawler from the transfer cask into the cavity 24 until the bottom surface of canister 90 contacts and rests atop the support blocks 52, as described above. When resting on support blocks 52, at least a major portion of the canister is below grade. Most preferably, the entirety of the canister 90 is below grade when in its storage position. Thus, the HLW storage container 100 provides for complete subterranean storage of the canister 90 in a vertical configuration inside the cavity 24. In some embodiments, the top surface of the pad 63 itself can be considered the grade level, depending on its size, radiation shielding properties, and cooperational relationship with the other storage modules in the ISFSI. Once the canister 90 is positioned and resting in cavity 24, the lid 30 is positioned atop the body portion 20 of HLW storage container 100 as described above with respect to FIG. 3, thereby substantially enclosing cavity 24. An inlet air plenum exists below the canister 90 while an outlet air plenum exists above the canister 90. The outlet air plenum acts to boost the “chimney” action of the heated air out of the HLW storage container 100. The lid 31 is then secured in place with bolts that extend into the concrete pad 63. As a result of the heat emanating from canister 90, cool air from the ambient is siphoned into the inlet vents 33, drawn through the space 23, and into the bottom of cavity 24 via the openings 25. This cool air is then warmed by the heat from the canister 90, rises in cavity 24 via the clearance space between the canister 90 and the inner shell 22, and then exits cavity 24 as heated air via the outlet vents 38 in the lid 30. It should be recognized that the depth of the cavity 24 determines the height of the hot air column in the annular space 23 during the HLW storage container's 100 operation. Therefore, deepening the cavity 24 has the beneficial effect of increasing the quantity of the ventilation air and, thus, enhancing the rate of heat rejection from the stored canister 90. Further lowering the canister 90 into the cavity 24 will increase the subterranean depth of the radiation source, making the site boundary dose even more miniscule. Of course, constructing a deeper cavity 24 will entail increased excavation and construction costs. A multitude of HLW storage containers 100 can be used at the same ISFSI site and situated in arrays as shown in FIG. 9. Although the HLW storage containers 100 are closely spaced, the design permits a canister in each storage container 100 to be independently accessed and retrieved easily. While the invention has been described and illustrated in sufficient detail that those skilled in this art can readily make and use it, various alternatives, modifications, and improvements should become readily apparent without departing from the spirit and scope of the invention.
056569025
summary
In the figures, the first digit of a reference numeral indicates the first figure in which is presented the element indicated by that reference numeral. BACKGROUND OF THE INVENTION This invention relates in general to robots for use in integrated circuit production and relates more particularly to a robot that is magnetically coupled to reduce particulate contamination within one or more process chambers. Integrated circuit processing technology is continuously concerned with reducing the feature size of circuits to increase the amount of circuitry that can be packed onto an integrated circuit of a given size and to increase the speed of operation by reducing the distance that signals need to travel within such circuits. Particulates of diameter even several times smaller than the feature size of a component can cause failure of the IC if a particulate was present at a critical location in the IC during an important process step. This problem is particularly acute for large area ICs such as microprocessors and &gt;4 megabit memories because such ICs have an increased area over which a critical defect can occur. The multilayer structure of typical ICs also increases the effect of particulates on yield because a particulate incorporated into one level of an IC can affect not only the circuitry on that level, but also circuity on other levels. A defect in an embedded level of an IC can propagate through overlying layers, distorting the topography and thus disrupting operation of those circuit elements. For these reasons it is important to minimize the amount of particulates that come into contact with a wafer immediately before and during processing. FIG. 1 illustrates common particulates and particulate sizes that are present in the ambient atmosphere. Expensive, intricate clean rooms and clean room procedures are utilized to significantly reduce the amount of airborne particulates to which a wafer is exposed during IC fabrication. Unfortunately, clean rooms cannot prevent particulates from being produced within an integrated circuit fabrication system. FIG. 2 illustrates an existing wafer handling system 20 that allows a robot 21 to supply wafers to any of a plurality of IC processing chambers. Robot 21 includes an extensible arm 28 that can extend a wafer blade 29 radially into any of chambers 23-27. Arm 28 is mounted on a rotatable table 210 that enables the extensible arm to be directed at any selected one of chambers 23-27. A vacuum is maintained in chamber 211 containing the robot so that the chambers 24-27 and 211 can be carefully cleaned and purged before wafers are introduced for processing. This system enables wafers 22 to be exchanged between a wafer cassette elevator 23 and any of the chambers 24-27 without breaking vacuum in these chambers. Unfortunately, the mechanical steps of moving wafers among the chambers and from the wafer cassette elevator 21 to the chambers and back produces some particulates that can contaminate the wafers. It is therefore important to minimize the amount of particulate production by such a robot. Rotatable table 210 and robot 21 are each coupled to motors exterior to chamber 211 to prevent wafers from being contaminated by operation of such motors. These motors are typically in an atmospheric environment, so rotary seals are required to separate chamber 211 from the environment in which the motors are contained. These seals enable the motor shafts to extend between the motor and robot chambers while preserving the pressure difference between these chambers. Tests have shown that these rotary seals are a major source of particulate generation within chamber 211. In a robot system manufactured by Anelva, these rotary seals are replaced by a magnetic coupler 30 illustrated in FIG. 3. Coupler 30 consists of an outer assembly 31, a vacuum assembly 38 and an inner assembly 313. Outer assembly 31 includes a cylindrical casing 32, a bottom cap 33 and a top cap 34. Casing 32 encloses a chamber 35 and has attached to its inner wall a set of six bar magnets 36 polarized in the radial direction of casing 32. Bottom cap 33 includes a ball hearing ring 37 into which can be fitted vacuum assembly 38. Vacuum assembly 38 includes a flange 39 and a cylindrical shell 310 enclosing an inner cavity 311. In an integrated circuit processing system utilizing a robot such as that shown in FIG. 2, wall 40 (see FIG. 4) between chamber 41 containing motor 42 and chamber 211 containing the robot contains a hole of diameter slightly larger than the outer diameter of cylindrical shell 310. A vacuum ring 43 (see FIG. 4) is slipped over cylindrical shell 310 which is then inserted through this hole in the wall and attached to the wall by flange bolts through flange 39 with sufficient pressure against the vacuum ring to maintain the pressure difference between the motor and robot chambers. Inner assembly 313 includes a ferrite inner pole section 314 having a set of six poles 315. On one end of section 314 is a ball bearing ring 316 and on the other end is a shaft 317 over which is slipped a ball bearing ring 318. When this inner assembly is inserted into inner cavity 311, ball bearing rings 316 and 318 cooperate to center shaft 317 and inner pole section 314 within cavity 311 and to enable inner pole section 314 and shaft 317 to rotate easily within this cavity. Shaft 317 is then connected to the robot to activate various operations of that robot. Outer assembly 31 is slipped over shell 310 until shell 310 fits into ball bearing ring 37. Ball bearing rings 37, 316 and 318 enable both inner assembly 313 and outer assembly 31 to rotate relative to vacuum assembly 38. A motor is connected to outer assembly 31 to controllably rotate that assembly relative to the vacuum assembly. Pole magnets 36 within outer assembly 36 each magnetically couple to an associated pole 315 of ferrite inner pole section 314 so that the inner assembly rotates with the outer assembly. This magnetic coupler enables elimination of the rotary seals, but exhibits several deficiencies. First, the magnetic coupler should allow more than one rotatable axis to penetrate through the wall between the motor and robot chambers so that more than one degree of motion can be imparted by the motor section to the robot. Second, the magnetic coupling between the outer and inner assemblies should be stronger. Third, the structure of the coupling should be such that the vertical position of the robot does not vary when a vacuum is established in the robot chamber. Such variation could produce misalignment of a robot blade with a wafer in a chamber external to chamber 211. SUMMARY OF THE INVENTION In accordance with the illustrated preferred embodiment, a two-axis magnetically coupled robot is presented. These two rotatable axes enable two different robot actions to be controlled, such as rotation of the robot and linear, radial extension of a robot arm. Such radial extension of the robot arm more effectively extends the robot arm through a wafer transfer slit than does the arcing movement of the robot 28 of FIG. 2. In contrast to the Anelva robot discussed above, the motor chamber extends upward into the robot chamber so that the magnets that are attached directly to a motor are inside of the portion of the magnetic coupling that is within the robot chamber. This enables the side wall of the motor chamber to extend entirely through the robot chamber and provide support to both the top and bottom walls of the robot chamber. The utility of this can be understood by reference to FIG. 4. FIG. 4 illustrates a problem that occurs in a robot system, like the Anelva system discussed above, in which the drive motor 42 directly attaches to magnets that are outside of the inner pole section 314 that is attached directly to robot 44. In this illustrated embodiment, inner pole section 314 couples to a robot 44 having a wafer blade 45 that is to controllably extend through a wafer exchange slot 46 in sidewall 47 of robot chamber 41 to transfer wafers into and out of chamber 41. When a vacuum is created in chamber 41, this bows wall 40 inward producing a vertical displacement of blade 45 upward relative to wafers in other chambers of a wafer handling system such as shown in FIG. 2. Because outer assembly 31, which is directly attached to motor 42, is at a greater distance from the motor rotation axes than is inner pole section 314, which is attached directly to robot 44, this outer assembly 31 cannot be extended upward through chamber 211 without interfering with the operation of robot 44. However, if motor chamber 41 extended upward into the robot chamber and the power coupled from inside the motor chamber outward across the sidewall of the motor chamber into the robot chamber (instead of from outside inward as in FIG. 4), then the motor chamber sidewall could extend from the bottom wall of the robot chamber to the top wall of robot chamber. For such a configuration, when a vacuum is produced in the robot chamber, the sidewall of the motor chamber prevents the bottom wall of the robot chamber from bowing upward and displacing the robot vertically relative to wafers in other chambers of the wafer handling system. Also, the motor chamber is accessible from above, thereby simplifying repair or replacement of parts within the motor chamber. In accordance with the illustrated preferred embodiment, a converter is presented that converts the rotational motion of two motor shafts into separately controllable rotation of the robot and linear, radial extension of a robot arm. Each of these two motor shafts is magnetically coupled outward across the sidewall of the motor chamber into the robot chamber. Within the motor chamber, each motor shaft is rigidly connected to an associated set of N magnets that are closely spaced from the sidewall of the motor chamber. Each of these sets of magnets magnetically couples to an associated set of ferromagnetic elements (preferably a set of N magnets) in the robot chamber to transfer power from a motor to the robot. Even when these ferromagnetic elements are not permanent magnets, they become magnetically polarized by the associated ring of magnets and therefore, the work "magnet" herein will also refer to nonpermanent magnets that are magnetically polarized during use of the magnetic coupler. In one embodiment, these ferromagnetic elements are supported in two rings that are concentric with the sets of magnets to which these elements couple. In other embodiments, each set of magnets can be grouped into one or more clusters that are not in the form of a ring. The robot converts the rotational motion of these two sets of ferromagnetic elements into general rotational motion of the robot and radial extension of a robot arm .
description
This application is a divisional of U.S. patent application Ser. No. 11/223,238, filed Sep. 9, 2005, the disclosure of which is incorporated herein by reference in its entirety. Research funding was provided for this invention by the DOE under grant number DE-FG07-01/D14107. The United States government has certain rights in this invention. This invention relates to improved systems and methods for the cyclotron production of 124I using an aluminum telluride (Al2Te3) target. Positron emission tomography (PET) plays a vital role in the diagnosis of health and disease. Over the last half decade, steady advancements in PET instrumentation and synthetic chemistry have required substantial quantities of the cyclotron produced positron emitting isotopes, 11C, 13N, 15O, and 18F. Carbon, nitrogen and oxygen offer the advantage of seamless integration into existing compounds without altering their chemical properties. 18F labeled compounds, as analog species, mimic many natural substances but fail to completely navigate most biochemical pathways. However, the favorable half-life of 18F (t1/2=109 min) proves to be well suited for most time scales explored in the body. The value of PET, well represented by the wide use of [18F]-fluorodeoxyglucose ([18F]-FDG) in the clinical environment, bridges cardiology, oncology and the neurosciences. Within the last decade, a significant percentage of new PET installations have occurred at oncology sites for the diagnosis and staging of disease as well as monitoring the progression of treatment regimens. Another major consumer of fluorinated agents, including [18F]-FDG, has developed within the pharmaceutical companies. Coinciding with the arrival of commercial small animal scanners, monitoring drug behavior on the tracer level in vivo has proven more effective than observing indirect responses in large patient demographics. A natural outcome of the increasing clinical [18F]-FDG studies in the late 1990s was the birth of commercial PET isotope distribution centers. CTI installed the first commercial purpose cyclotron in 1990 which has proliferated to nearly 150+11 MeV RDS proton (only) cyclotrons nationwide. These distribution centers operate with a capacity that has changed the architecture of medical imaging centers. The formation of satellite imaging facilities is now realized as long as a host cyclotron falls within a driving radius on the order of the labeled half-life. However, geography has limited these sites to providing only 18F, as the positron emitting isotopes of oxygen, nitrogen, and carbon have short half-lives that do not lend themselves to transport over long distances (>few kilometers). The freedom to label authentic ligands, native to the body's physiological environment, forces the expansion of PET beyond the pure positron emitters stressing development of production systems for non-conventional PET isotopes. Much of the growing need for these non-conventional isotopes focuses on the long-lived neutron deficit radiohalogens, specifically 124I (t1/2=4.17d, Eβ+=2.13 MeV, Iβ+=22%, γ=603 keV). The incorporation of radiohalogens into organic molecules is supported by a vast body of literature recently reviewed (Bolton. J. Label. Compd. Radiopharm., 45, 485 (2002); Adam et al., Chem. Soc. Rev., 34, 153 (2004)). The promising clinical aspects of 124I have led to investments among several research institutions and commercial companies to produce multi-mullicurie quantities for distribution purposes. The combination of physiological versatility and well-known labeling chemistry ensures a pivotal role for 124I in developing molecular agents of diagnostic and therapeutic value. Traditionally, the bulk output of radiohalogens, including 124I, comes from a few centers with large multi-particle cyclotrons (i.e. 30 MeV protons, 15 MeV deuterons) driving the 124Te(d,2n)124I reaction (Sharma et al., J. Lab. Compd. Radiopharm., 2, 17 (1969); Lambrecht et al., J. Radioanal. Nucl. Chem. Letters, 127, 143 (1988); and Firouzbakht et al., Nicl. Insrtum. Meth. Phys. Research, B79, 909 (1993)). However, a large population of low energy biomedical cyclotrons have benefited from the moderate yields of the 124Te(p,n)124I pathway (Scholten et al., Appl. Radiat. Isot., 46, 255 (1995)). The high radionuclidic purity and modest contributions from the secondary 124Te(p, 2n)123I reaction present attractive aspects for targetry development along this path. Thus, the large commercial presence of these biomedical cyclotrons, distributed across the United States (i.e. 11 MeV CTI RDS; 16 MeV GE PETtrace), normally supplying curie quantities of [18F]-FDG, provide an appropriate base for a steady source of 124I. Unfortunately, efforts to produce this radiohalogen have generally gone undeveloped. A combination of factors have prevented expansion, centering primarily on the complexity of the target systems, expense of the enriched substrates, low reaction yields, and extensive post-processing to reclaim the target material. It is known that elemental tellutitun does not possess the necessary thermal and physical properties for a stable solid matrix needed in the harsh irradiation conditions of a cyclotron target. In addition, separation of the 124I product from the packed target powder requires wet chemistry techniques, making post-processing arduous. Pairing tellurium with a low-Z element, forming a binary compound, significantly improves the thermal performance and physical nature of target material. The preferred method involves the irradiation of binary compounds, specifically tellurium dioxide (TeO2) and copper telluride (Cu2Te). The bombardment of glassy tellurium dioxide melts has prevailed as the material of choice given its high mass fraction and commercial availability. The added benefit of dry distillation to recover the 124I product proves more favorable for TeO2 as each thermal cycle leaves the target in a preparative state for the next irradiation. Development of a reliable methodology to produce 124I on low energy cyclotrons is largely discouraged in the literature but sufficient amounts have been demonstrated on 13 MeV machines using conventional targets (McCarthy et al., Proceedings of the 8th Workshop on Targetry and Target Chemistry, St. Louis, Mo., 127 (1999); Sheh et al., Radiochem. Acta, 88, 169 (2000); and Qaim et al., Appl. Radiat. Isot, 58, 69 (2003). Using the existing systems and targets, obtaining useful quantities of 124I via the (p,n) reaction at proton energies below 13 MeV becomes difficult as the saturation yield drops by nearly a factor of three from an incident energy of 13 to 11 MeV. In addition, commitment to the required startup costs overwhelms most PET sites interested in 124I research. Thus, a need exists for an improved system and target material for the production of 124I utilizing low energy biomedical cyclotrons. The present invention provides systems and methods for producing batch quantities of 124I on a cyclotron using an aluminum telluride target. The present invention was based, at least in part, on a strategy of enhancing the physical properties of a target by pairing elemental tellurium with a light element led to provide an alternative substrate for 124I production. For a binary combination, Mx124Tey, the pairing species, Mx, depends ultimately on the desired characteristics of the resultant compound. In the development of the present invention, the inventors identified several desired characteristic for the binary combination. The binary combination is preferably easily made in a common chemistry lab. Pairing an element low in stopping power (low Z, small x) will keep the mass fraction of tellurium high. An increase in melting point, resulting from the pairing, generally signals a low vapor pressure, desirable for solid compounds. Perhaps the most important characteristic of the binary compound is its ability to release iodine more readily at a reasonable temperature, normally referenced at the material's melting point. Based on these desired characteristics, the inventors have identified aluminum telluride (Al2Te3) as a superior target material for the cyclotron production of 124I. In its basic embodiment, the present invention provides a system and method whereby an aluminum telluride target, preferably highly enriched with 124Te, is irradiated with protons on a cyclotron, preferably a lower energy cyclotron, to produce the positron emitting iodine isotope 124I in the target, via the 124Te(p,n)124I reaction, of which the activity is released from the target and collected in a high yield. In one embodiment, the method of generating 124I comprises irradiating a target material comprising 124Te-enriched aluminum telluride with protons and releasing 124I from the target material, wherein the target material is irradiated with protons of an energy of at least about 11 MeV. In some embodiments, the method of generating 124I comprises irradiating a target material comprising 124Te-enriched aluminum telluride with protons and releasing 124I from the target material, wherein the 124I is thermally distilled from the target material, and further wherein the distillation temperature ranges from about 750° C. to about 900° C. In other such embodiments, the distillation temperature ranges from about 900° C. to about 1000° C. In other embodiments, the method of generating 124I comprises irradiating a target material comprising 124Te-enriched aluminum telluride with protons and releasing 124I from the target material, wherein the 124I is thermally distilled from the target material, and further wherein the thermally distilled 124I is carried away from the target material by a noble gas flowing, over the target material. In other such embodiments, the thermally distilled 124I is carried away from the target material by air flowing over the target material. In an alternative embodiment, comprising the same system and method, an aluminum telluride target, preferable highly enriched with 124Te, is irradiated with deuterons on a cyclotron, to produce the positron emitting iodine isotope 124I in the target, via the 124Te(d,2n) reaction, of which the activity is released from the target and collected in high yield. The required target encasement and level of 124Te enrichment follow those guidelines established for 124I production by way of proton irradiation of an aluminum telluride target. In some embodiments, the systems and methods provide at least 80% release of the 124I from the target. This includes embodiments which provide at least 85% release of 124I from the target, at least 90% release of 124I from the target and at least 95% release of 124I from the target. As a result, the present methods and systems are able to provide 124I in commercially useful quantities. The cyclotron production of 124I may be carried out on any one of the many low energy cyclotrons that are scattered throughout the United States at various academic and commercial locations. These biomedical cyclotrons typically irradiate targets with protons at energies of about 18 MeV or less. This includes cyclotrons that are adapted to irradiate a target at proton energies of about 16 MeV or less and ether includes cyclotrons that are adapted to irradiate a target at proton energies of about 11 MeV or less. An analogous process for the production of 124I may be carried out at any cyclotron site with the capability of irradiating targets with deuterons at energies of about 30 MeV or less. This includes cyclotrons that are adapted to irradiate targets at energies of about 15 MeV or less and further includes cyclotrons that arc adapted to irradiate a target at deuteron energies of about 7 MeV or less. Further objects, features and advantages of the invention will be apparent from the following detailed description when taken in conjunction with the accompanying drawings. The present invention provides novel aluminum telluride targets for use in the low energy cyclotron production of 124I and to cyclotron systems and methods that utilize the aluminum telluride targets. The description that follows provides a non-limited example of a method for the production of an aluminum telluride target and a non-limiting example of a system and method that may be used to produce 124I from the target. Tellurium (Te) metal has eight stable isotopes (120Te, 122Te, 123Te, 124Te, 125Te, 126Te, 128Te, and 130Te) with 124Te making up 4.6% of the natural abundance in nature. Production of 124I by way of the (p,n) nuclear reaction requires tellurium enriched in 124Te (i.e., tellurium that has been enriched through human intervention) to minimize contributions from long-lived contaminants. These contaminates result from reactions with improperly enriched 124Te compounds containing traces of 125Te and 126Te (125Te(p,n)125I, t1/2=59 d, γ=35 keV and 126Te(p,n)126I, t1/2=13 d, γ=66 keV). Thus, the present targets are desirably highly enriched in 124Te. For example, the tellurium in the target may contain at least about 90% 124Te, more desirably, at least about 95% 124Te and, still more desirably, at least about 99% 124Te. Starting with enriched tellurium, the synthesis of aluminum telluride was in accordance with published procedure first described by Whitehead and later by Brauer (C. Whitehead, J. Amer. Chem. Soc. 17, 849 (1895); G. Brauer. Handbook of Preparative Inorganic Chemistry, Academic Press, New York, 1963, p. 826), the entire disclosures of which are incorporated herein by reference. Briefly, the preparation of aluminum telluride follows the stoichiometric relationship 2Al+3Te→Al2Te3. The correct proportions of aluminum powder and tellurium powder were weighed and placed in a quartz tube closed at one end. (i.e., 262 mg 99.5% 124Te and 38 mg Al to produce 300 mg Al2124Te3). A second quartz tube, lowered into the reaction vessel, provided a slow nitrogen gas flow (100 mL/min) over the top of the mixed powders. The entire assembly fit into a 1000° C. furnace used to carryout the reaction. The reaction took place over three separate points during the heating cycle. The first set point, 400° C., was well below the threshold to drive the reaction but allowed any trapped moisture to escape the admixture. After ten minutes the temperature was increased to 750° C. at which the exothermic reaction took place, signaled by a brief sound indicating the formation of aluminum telluride. The last temperature point, at 850° C., was held for one hour to anneal the product ensuring a complete reaction. Following the annealing phase, the quartz vessel was allowed to cool and cracked open to recover the aluminum telluride product. For a 300 mg aluminum/tellurium admixture, approximately 65-75% of the solid aluminum telluride product was recovered and stored under an inert atmosphere. In this example, an amount of 203 mg of Al2124Te3 was recovered. In preparing a target, 200 mg of Al2124Te3 was weighed, placed in a crucible and heated slowly to 910° C. under a 20 mL/min nitrogen flow. The preferred crucible can have any material composition that is chemically inert to the aluminum telluride compound over the temperature range needed to prepare the target. Examples of suitable materials include, but are not limited to, platinum, aluminum oxide, carbon, gold and tantalum. For this example, platinum was chosen for the target crucible. The furnace was kept at 910° C. for 10 minutes to ensure the target had completely melted. The cooled product formed a black glassy matrix distributed evenly over the platinum crucible. Losses during this cycle were less than 2%. FIG. 1 shows a schematic diagram of an apparatus 100 that may be used to house the target during the cyclotron production of 124I. The apparatus includes a 20-degree inclined cooling stage 103 cut into an aluminum 6061-T6 rod which supports the platinum crucible 111 holding the target during irradiation with the beam 114 from the cyclotron. A 0.12 mm depression machined in the beam strike area of the stage receives a 0.25 mm thick indium foil that aids in conduction of heat to the cooling water 105 flowing through the aluminum stage. The platinum crucible, indium foil, and aluminum stage are compressed with an aluminum helium flow diverter 109 forming a 30 mm diameter plug encompassing the target material except for the beam path area. The target assembly mates to an aluminum casing 104 via a NW40 KF clamp 102 (MDC, Hayward, Calif.) for quick removal at the end of bombardment. A single 25.4 μm aluminum entrance foil 110, supported on a vacuum flange 101, isolates the casing and concentric cooling stage from the cyclotron vacuum. A circulating flow of chilled helium 108 feeds the casing at 180 L/min with an inlet temperature of 0° C. FIG. 2 shows a diagram of the target assembly used to provide chilled helium. As shown in FIG. 2, beam power is removed from the helium stream by a heat exchanging system consisting of a double walled six liter stainless steel bucket 207 filled with dry ice. Thermocouples at the inlet/outlet positions of the helium cavity 206 measure the convective power removed from the target 212 surface. Similarly, thermistors 206 monitor the temperature rise in the cooling water supplied to the inclined cooling stage. The irradiation was performed on an 11 MeV CTI RDS 112 cyclotron by slowly increasing the beam current to the desired value. Given the description of the embodiment above, the aluminum telluride target tolerates beam currents of up to at least 20 μA. The incident protons dissipate their entire energy in the target material. Saturation yields measured from the target were 229±18 μCi/μA-hr representing 95% of the thick target yield for the binary compound. Temperature differences between the inlet/outlet chilled helium streams show that approximately 30% of the beam power is carried away by convective cooling of the target face. Typically bombardment durations of two hours at 18 μA yield 8 mCi of 124I in-target. Mass losses of the aluminum telluride melt are <1% per irradiation determined by an analytical scale. The embodiment described above for the proton irradiation of aluminum telluride may be adapted to provide 124I via deuteron irradiation of aluminum telluride targets. For example, a 16 MeV deuteron particle incident on the aluminum telluride detailed above would deposit the same amount of energy as a proton of 16 MeV. Differences in cross-sections between the 124Te(p,n)124I and 124Te(d,2n)124I pathways will result in different yields of 124I at the end of bombardment. However, for all practical purposes, the target material behaves in the same fashion as with proton irradiation. Recovery of iodine from deuteron irradiated aluminum telluride targets follows the same procedures described for proton irradiation detailed below. The 124I may be separated from the glassy melt using conventional dry distillation techniques. Such techniques are described in Van Den Bosch et al., Int. J. Appl. Rad. Isot., 28, 255 (1977); Beyer et al., Radiochem. Radioanal. Lett., 47, 151 (1981); and Beyer et al., Radiochim. Acta, 88, 175 (2000), the entire disclosures of which are incorporated herein by reference. In the present example, the distillation apparatus shown in FIG. 3 includes a compact furnace 307 lined with a 19 mm ID quartz tube 302 which supports a platinum crucible 301 during the thermal cycle. A 1 mm ID capillary section 303, treated with 20 mM ammonium hydroxide (NH4OH), mates with the quartz tube via 24/40 grindings 304 at the furnace aperture. A 0.12 mm platinum wire 305 rinsed with 20 mM NH4OH was placed inside the quartz capillary section 303 to increase surface area and promote trapping of the volatilized iodine. The distillation apparatus also includes a charcoal trap 309. The platinum crucible was assayed and placed in the quartz furnace initially at room temperature. Heat tape 306, wrapped around the 24/40 grindings prevented premature plate out of the iodine before it reached the capillary section. The separation procedure starts by raising the furnace temperature to 400° C. for 10 minutes under a 20 mL/min dry air flow 314, adjusted by a needle valve 310 and monitored by a mass flow meter 311. Dry air 314 was drawn through the assembly by a mini-pump 308 (KNF, West Chester, Pa.). A 400° C. furnace temperature allowed trapped moisture within the target material and furnace assembly to escape the distillation apparatus preventing condensation from plugging the capillary section during the recovery phase. Following the 400° C. set point, the capillary section was chilled with dry ice 312 while the furnace temperature was raised to 910° C. over a period of three minutes. Two 12×10 mm YAP (yttrium aluminum perovskite) detectors monitored the release of iodine from the aluminum telluride melt and subsequent trapping on the platinum loaded capillary section. The thermal chromatogram of FIG. 4 shows maximum release of the 124I from the glassy melt after a period of approximately 15 minutes. At least about 95% of the activity was trapped downstream on the cooled quartz with about 5% remaining in the target as measured by a dose Capintec calibrator. Removal of 124I from the capillary section exceeded 95% in a wash of warm 20 mM NH4OH buffer solution. Single column ion chromatography (SCIC) provided good separation of the iodate (IO3−) and iodide (I−) species present in the distilled product. An eluent consisting of 4 mM phthalic acid, adjusted to pH 4.0 with lithium hydroxide, was equilibrated on an Allsep anion column (Alltech Associates, Deerfield, Ill.). Retention volumes for iodate and iodide were 1.5 mL and 9 mL for a standard injection of 260 ppm potassium iodate and 20 ppm potassium iodide, measured by conductivity. The ion chromatogram of FIG. 5 shows >99% of the distilled product in the iodide form. Recovered iodine supported in basic buffer solutions remained in the iodide form over a period of weeks. It is understood that the invention is not confined to the particular embodiments set forth herein, but embraces all such forms thereof as come within the scope of the following claims.
summary
abstract
A system for manufacturing radionuclide generators includes an enclosure defining a radioactive environment. The enclosure includes radiation shielding to prevent radiation within the radioactive environment from moving to an exterior of the enclosure. The system also includes a barcode positioned on an object within the enclosure and a scanning system for scanning the barcode. The scanning system includes a camera on the exterior of the enclosure, a mirror, and a conduit extending through a wall of the enclosure for light to travel between the camera and the mirror.
description
This application claims priority to a provisional application filed on Feb. 9, 2004, having application No. 60/543,084, which is incorporated herein by reference. It is known to use multi-cylinder air compressors on freight and passenger locomotives to supply compressed air to various locomotive systems, such as the operating and control equipment of a railway air brake system. Prior art techniques for servicing the air compressor system have essentially required uninstalling and shipping major components of the air compressor system, such as the entire compressor, to a specialized compressor servicing site. This approach may lead to unnecessary costs and delays, if the type of component causing the malfunction was one that could be replaced in-situ at the locomotive (i.e., as installed onboard the locomotive) without having to incur the delays and expenses associated with shipping the entire compressor to the specialized servicing site. However, heretofore there was no effective procedure or test apparatus to diagnose locomotive air compressors in-situ to determine if the malfunction was due to an in-situ serviceable component or to a cause that required removal of the air compressor system and servicing off-board of the locomotive. The inventor of the present invention has innovatively recognized a sequence of diagnostics techniques that may be performed in-situ onboard a locomotive for identifying in a locomotive air compressor system (out of various components that make up such a system) a specific malfunctioning component that is likely to require a servicing action and further identifying a type of servicing action appropriate for correcting the malfunction. This type of technique is particularly advantageous in the locomotive industry since now one may be able to replace certain identified components in-situ on the locomotive while at a generic or non-specialized locomotive service shop without having to uninstall and ship main components of the compressor system for servicing at a specialized suppliers site. This is a significant improvement over prior art techniques that have essentially required uninstalling and shipping major components of the air compressor system, such as the compressor, regardless of whether in fact there is ultimately determined to be a need for such specialized servicing. For example, a cylinder head including intake and outlet valves could be replaced at the generic service shop without having to uninstall and ship the entire compressor to the specialized suppliers site. Below is a description of an exemplary compressor air system that may benefit from the diagnostics techniques embodying aspects of the present invention. FIG. 1 shows an air compressor system 10, including a pair of intercoolers 12 and 14, an aftercooler 16, a main storage reservoir 18, and associated piping. In one exemplary embodiment air compressor system 10 comprises a multi-cylinder, two-stage, air-cooled compressor having a first low pressure cylinder 20 and a second low pressure cylinder 22 and a high pressure cylinder 24, each of which may be provided with cooling fins. As shown, the pair of low pressure cylinders 20 and 22 and the high pressure cylinder 24 may be mounted on and supported by a crankcase 26 in the usual manner and include respective pistons which are actuated by connecting rods driven by a rotatable crankshaft 28. In one exemplary embodiment the crankcase 26 includes a breather valve 27 and an oil-fill plug 29. One end of the crankshaft 28 may be coupled to and driven by a suitable rotatable prime mover, such as an electric motor 17 or the like, while the other end of the crankshaft 28 may be attached to a rotary cooling fan assembly (not shown). Crankcase seals 21 and 23 are commonly employed to seal both ends of the crankshaft 28 to prevent leakage of lubricating fluid. One or more side removable covers 25 may be provided to provide access to the interior of the crankcase 26. An inlet valve 30 of the low-pressure cylinder 20 is connected by conduit 32 to an intake filter 34, while an inlet valve 36 of the low-pressure cylinder 22 is connected by conduit 37 to an air intake filter 38. An outlet valve 40 of the low-pressure cylinder 20 is connected to an inlet header of the first intercooler 12 via a pipe 42. It will be appreciated that although FIG. 1 illustrates just one inlet and outlet valve per cylinder head assembly, in one exemplary embodiment, each cylinder head assembly may comprise a pair of inlet and outlet valves per cylinder head. Typically, the valves may be spring-loaded valves responsive to negative or positive pressure to reach either a closed or an open condition. An outlet header of intercooler 12 is connected to one inlet of a T-pipe fitting 44. Similarly, an outlet valve 46 of the low pressure cylinder 22 is connected to an inlet header of the second intercooler 14 via a pipe 48. An outlet header of intercooler 14 is connected to the other inlet of the T-pipe fitting 44, while the outlet of the T-pipe fitting 44 is connected to an inlet valve 50 of the high pressure cylinder 24. An outlet valve 52 of high pressure cylinder 24 is connected by suitable conduits and fittings forming piping 54 to an inlet header of the aftercooler 16. An outlet header of aftercooler 16 is connected by suitable conduits and fittings forming piping 56 to the inlet of the main storage reservoir 18. Below is a description of an exemplary sequence of tests for identifying in a locomotive air compressor system any of various components that are likely to require a servicing action that, for example may performed in-situ onboard the locomotive or at an specialized compressor servicing site based on the results of the performed test sequence. Crankcase Inspection Test: Evacuate oil from crankcase and then remove side covers 25 and inspect the interior of the crankcase 26, e.g., bearings and lubrication system. For example, if one detects the presence of pieces of metal, or bad bearings, then a servicing decision would be to remove the compressor for an overhaul. If this upfront test is passed, one would reattach the side covers 25 and continue with the tests below. Intercoolers and Low Pressure Cylinder Tests: Test 1A (Pressurizing Intercoolers and One Of The Two Low Pressure Cylinders): 1. Remove air filters 34 and 38. 2. Remove oil-fill plug 29 3. Block breather valve 27 4. Block one of the intake conduits (e.g., the conduit 32 that provides an intake to one of the low pressure cylinders, e.g., low pressure cylinder 20). 5. Block the pipe that provides a discharge outlet to the aftercooler 16. That is, block pipe 56. 6. Install on the other intake conduit (e.g., conduit 37 that provides an intake to low pressure cylinder 22), a pressurizing fixture (e.g., including a pressure gage and valve). 7. Pressurize to a predefined pressure (e.g., 60 psi) and start to measure time, e.g., start a timer. 8. Record time elapsed upon reaching one or more predefined pressure levels, e.g., 55, 50, 45 and 40 psi pressure. 9. Compare the actual elapsed time recorded at the predefined pressure levels relative to predefined threshold times. 10. Check for possible air leak through intercoolers 12 and 14, e.g., visual check. 11. Check for possible airflow through oil-fill opening 29. The predefined pressure (e.g., 60 psi) applied in step 6 above is sufficiently high to cause intake valve 36 to open and pressurize the low-pressure cylinder 22 as well as intercoolers 12 and 14. The predefined pressure is also sufficiently low to stay within the pressure ratings of the intercoolers 12 and 14 and avoid actuating the intake valve 50 of the high-pressure cylinder 22 to an open condition. At this point, presuming the outlet valve 40 is operating properly, the head of the low-pressure cylinder 20 has not been pressurized because the outlet valve 40 is in a closed condition in response to the applied pressure. Thus, one would perform another sequence of steps for pressurizing the head of the low-pressure cylinder 20. More specifically, Test 1B (Pressurizing Intercoolers and the Other One of Low Pressure Cylinders): 1. Block the other one of the intake conduits (e.g., conduit 37) that provides an intake to low-pressure cylinder 22). 2. Install on the other intake conduit (e.g., conduit 32 that provides an intake to low pressure cylinder 20), the pressurizing fixture 3. Pressurize to the predefined pressure (e.g., 60 psi) and start to measure time, e.g., start a timer. 4. Record time elapsed time upon reaching one or more predefined pressure levels, e.g., 55, 50, 45 and 40 psi pressure. 5. Compare the actual elapsed time recorded at the predefined pressure levels relative to predefined threshold times. 6. Check for possible air leak through intercooler 12 and 14, e.g., visual check 7. Check for possible airflow through oil-fill opening. The foregoing sequence is essentially arranged for determining whether there is a leak in any (or both) of the intercoolers 12 and 14 and whether there is a leak in any of the low-pressure cylinder heads, such as air leaking by the piston rings of any of the low-pressure cylinder heads and into the crankcase. The inventor of the present invention has identified failure mode indications associated with respective components of the compressor system that may be observed during the test sequence. One key advantage of the present invention over prior art techniques is being able to accurately distinguish and identify the type of failure modes that may be corrected in-situ from those that will require removal of major equipment from the locomotive for servicing at the specialized servicing site. Occurrence of specific indications would point out to a likely malfunction in a given component. For example, intercooler leaks may be generally characterized as relatively slow leaks compared to a low-pressure cylinder wall leak. The presence of intercooler leaks may be determined by visual inspection and/or a relatively moderate depressurizing rate (e.g., if the elapsed time to reach 40 psi is approximately 15 seconds, this may be indicative of an intercooler leak). Intercooler leaks tend to be visually detectable since intercoolers that have been in operational use for some time tend to collect visually detectable debris in their interior. In the event of a low-pressure cylinder wall leak, e.g., air passes into the crankcase from a respective one of the low-pressure cylinder heads, then one may be able to detect airflow through the oil-fill opening. This detection may be accomplished by monitoring the condition of a tape or other suitable thin flexible member placed over the oil-fill opening. In addition, service personnel may feel or hear such airflow. Moreover, a low-pressure cylinder wall leak tends to exhibit a higher depressurizing rate as compared to an intercooler leak. For example, while an intercooler leak may take about 15 seconds to reach 40 psi, a low-pressure cylinder wall leak may take just 5 seconds or less to reach 40 psi. The ability to determine the presence of an intercooler failure versus a cylinder wall failure is significant since the intercoolers may be readily replaced at the locomotive without having to remove the entire compressor whereas a cylinder leak into the crankcase typically requires removal of the entire compressor for an appropriate overhaul at a specialized service site. It has been observed from test data that variation in the recorded elapsed times (indicative of different depressurizing rates) obtained during Tests 1A and 1B tend to indicate that the intercoolers 12 and 14 are functioning properly and that the cause of this variation is likely to be caused by some other malfunctioning component, but not the intercoolers. This follows since during Tests 1A and 1B both intercoolers represent an assembly tested in common during each test and thus variations that may arise in the recorded elapsed times would tend to point to a different failure mode, such as leakage in one of the low-pressure cylinder walls. TEST 2—Aftercooler and High Pressure Cylinder Tests: 1. Open intake conduits to low-pressure cylinders 20 and 22. 2. Install pressurizing fixture at aftercooler discharge outlet. That is, pipe 56. 3. Pressurize to a predefined pressure, e.g., 80 psi and start to measure time, e.g., start a timer. 4. Record time elapsed upon reaching one or more predefined pressure levels, e.g., at 75, 70, 65 and 60 psi. 5. Compare the actual elapsed time recorded at the predefined pressure levels relative to predefined threshold times. 6. Check for possible air leak through aftercooler 16, e.g., visual check 7. Check for possible airflow through oil-fill opening. One aspect of this test allows pressurizing the aftercooler 16 and determining the presence of a leak in the aftercooler. The presence of such a leak may be determined by visual inspection and/or a relatively moderate depressurizing rate (e.g., if the elapsed time to reach 60 psi is approximately 15 seconds, this may be indicative of an aftercooler leak. Another aspect of this test also allows determining a malfunction in the outlet valve 52 of the high-pressure cylinder 24. For example, if the outlet valve 52 is operating properly, then when the aftercooler 16 is pressurized through pipe 56, that valve should remain closed and the pressurization should be limited to the aftercooler 16. In the event of a leaky outlet valve 52 in the high-pressure cylinder, the head of the high-pressure cylinder will also become pressurized. Test data reveals that once a leaky valve has been found in a given cylinder head, there tends to be a likelihood that the remaining valves associated with that cylinder head will also require replacement. Thus, assuming the outlet valve 52 of the high-pressure cylinder is found to be leaky, one would replace the cylinder head for that cylinder. This is a relatively straightforward servicing operation that may be performed without removing the entire compressor from the locomotive. As described in the context of Tests 1A and 1B, monitoring whether there is airflow through the oil-fill port may point to a leak in the high-pressure cylinder head, such as air leaking by the respective high-pressure piston rings and into the crankcase. Once again being able to determine different failure modes is significant since different course of actions will be taken depending on the specific malfunction or failure mode that has been identified. For example, replacement of the aftercooler 16 and/or the high-pressure cylinder head including the respective intake and outlet valves 50 and 52 may be performed at the locomotive whereas a cylinder leak into the crankcase will require removal and shipping of the compressor for overhaul at a specialized compressor service site. TEST 3—(Crankcase Pressure Test): 1. Remove test fixture from aftercooler discharge outlet. 2. Install pressurizing fixture at oil fill port. 3. Pressurize to a predefined pressure, e.g., 10 psi, and start to measure time, e.g., start a timer. 4. Compare the actual elapsed time recorded at the predefined pressure levels relative to one or more predefined threshold times, e.g., at 9, 8, 7, 6, 5, 4, 3 and 2 psi pressure. This test primarily allows determining the health of the crankcase seals 21 and 23. In one exemplary embodiment, with the motor 17 installed, physical access to the end of the crankshaft where seal 23 is situated is not realizable. Thus, by pressurizing the crankcase and monitoring a depressurization rate and comparing to a predefined threshold, (e.g., if the elapsed time to reach 2 psi is approximately 60 seconds), one may obtain an indication of crankcase seal health without having to remove the compressor motor. Referring back to FIG. 1, air dryer equipment 60 may be connected to remove moisture and/or other particulates that may be present in the compressed air to avoid condensation and/or contamination on the surfaces of one or more locomotive equipment (not shown) situated downstream that receive the pressurized air. In one known exemplary embodiment, the dryer equipment may comprise adsorbent-type air dryer that uses a regenerative desiccant that adsorbs moisture, at least up to a certain level of adsorption capacity. The moisture accumulated by the desiccant is then removed via a stream of dried air redirected through the desiccant to purge the moisture into the atmosphere. In one known technique, the air dryer equipment is responsive to a timer signal so that the regeneration process is performed at a fixed interval, (e.g., approximately every 2 minutes) regardless of actual usage of compressed air by the equipment downstream. This known technique forces the air compressor system to turn on and off based on the fixed timing for regeneration regardless of the actual consumption of compressed air by the locomotive equipment downstream. The inventor of the present invention has innovatively recognized that a flowmeter 62 may be coupled to provide a signal indicative of the flow rate and/or pressure of the compressed air passing therethrough to a controller 64. The flow rate may be mathematically integrated over a period of time to calculate the actual volume of compressed air passing through the flowmeter 62. A memory or look-up table 66 may be used to compare the volume of compressed air actually used relative to a predefined volume for performing the regeneration process, as may be based on the adsorption capacity of the desiccant. Once the volume of compressed air actually used equals or exceeds the predefined volume for performing regeneration, then a regeneration signal would be sent by controller 64 to the dryer equipment to perform the regeneration process. That is, in lieu of regenerating at a fixed time interval, one would regenerate based on the actual depletion of pressurized air, as may be actually depleted by the equipment downstream supplied by the air compressor system. The inventor of the present invention has further recognized that the flow meter 62 may be used to monitor degradation in the air compressing ability of the air compressor system. For example, the air compressor may be rated to supply a volume of compressed air within a predefined range at a predefined pressure. For example, in one exemplary embodiment, the compressor may be rated to deliver pressurized air in a range from approximately 145 cfm to approximately 180 cfm at a pressure of about 140 psi. As the air compressor ages, the ability to compress air will be gradually diminished, and it is thus desirable to determine whether the air compressor is able to pressurize air within an acceptable range. It is further contemplated that one could, based on past and present air compressing capacity, predict a future point in time when the air-compressing ability of the compressor system may be unacceptable. One may collect data from field-deployed air compressors and/or analytically or empirically derived data to extrapolate in time the present compressing ability of a given compressor to predict the point in time at which the compressing ability of the given compressor may no longer be acceptable so as to perform appropriate maintenance for that given compressor before reaching an unacceptable level of performance. For example, one may collect and store historical data from a plurality of air compressors like the one undergoing inspection to establish reference data for comparing actual data from the compressor undergoing inspection to predict the point in time when that compressor is likely to require a comprehensive servicing action, e.g., compressor overhaul. This data may be collected and stored on a suitable memory device and the data may be downloaded either during a servicing operation at a locomotive service site, or the data may be transmitted by communications equipment onboard the locomotive to a remote diagnostics center. One exemplary sequence for determining air-compressing capacity may be as follows: Air Compressing Capacity Test 1. Run air compressor for a predefined amount of time (e.g., 30 minutes) with the compressor motor at a predefined first rpm (e.g., 600 rpm). 2. Hold the pressure at a predefined pressure (e.g., 140 psi). 3. Monitor parameters indicative of reaching a set of predefined operational conditions, an example of such parameters may be lubrication oil temperature and oil pressure. 4. At this point, one may optionally monitor intercooler pressure. This monitoring rechecks and verifies appropriate functionality of the high- and low-pressure heads of the compressor system, such as a leaky valve or a valve stuck closed. In one exemplary embodiment, it has been demonstrated that an intercooler pressure measurement of approximately 45 psi is generally indicative of appropriate functionality of both the high- and low-pressure cylinder heads of the compressor system. In this embodiment, an intercooler pressure measurement below 40 psi is generally indicative of a malfunction regarding the low-pressure heads. Conversely, an intercooler pressure measurement above 55 psi is generally indicative of a malfunction regarding the high-pressure heads. It will be appreciated that this option essentially allows requalifying the appropriate functionality of the high- and low-pressure heads of the compressor system. It will be further appreciated that the foregoing numerical values just represent illustrative values since such values can vary depending on the specific characteristics of the compressor system undergoing testing. 5. Use the signal from the flowmeter 62 to calculate volume of pressurized air actually supplied by the compressor. 6. Run air compressor for a predefined amount of time (e.g., 10 minutes) with the compressor motor at a second rpm (e.g., 1050 rpm) and repeat steps 2-4 above. 7. Compare actual volume of pressurized air delivered by the compressor relative to a predefined air volume range indicative of whether the capacity of the compressor to deliver pressurized air is acceptable or not. FIG. 2 is a flow chart of a sequence of tests embodying aspects of the present invention for performing diagnostics of an air compressor system on board a locomotive. In one exemplary sequence, as illustrated at block 200, one may initially perform crank case inspection to determine the health of mechanical components within the interior of the crankcase. As shown at decision diamond 202, if the crank case inspection is not passed then, as shown at block 244, the corrective action would be to remove the compressor from the locomotive for compressor overhaul at a specialized service site. If the crank case inspection test is passed one proceeds to block 204 to perform Test 1A, that is pressurizing the intercoolers and one of the two lower pressure cylinders. As shown at decision diamond 206, if an intercooler leak is detected, as shown at block 208, one proceeds to replace the leaking intercooler in-situ. To verify that the intercooler leak has been corrected, one would return to block 204 and repeat Test 1A. As shown at decision diamond 210, another possible failure mode that may be detected while performing Test 1A is detecting a low-pressure cylinder wall leak. If a low-pressure cylinder wall leak is detected, one proceeds through connecting node 100 to block 244 to remove the compressor from the locomotive for compressor overhaul at a specialized service site. Presuming that no intercooler leak or low pressure cylinder wall leak has been detected, one continues at block 212 to perform Test 1B. That is, pressurizing the intercoolers and the other one of the low-pressure cylinders. As shown at decision diamond 214, if an intercooler leak is detected, as shown at block 216, one proceeds to replace the leaking intercooler in-situ. To verify that the intercooler leak has been corrected, one would return to block 212 and repeat Test 1B. As shown at decision diamond 218, another possible failure mode that may be detected while performing Test 1B is detecting a low-pressure cylinder wall leak. If a low-pressure cylinder wall leak is detected, one proceeds through connecting node 100 to block 244 to remove the compressor from the locomotive for compressor overhaul at a specialized service site. Presuming that no intercooler leak or low-pressure cylinder wall leak has been detected, one continues at block 220 to perform Test 2. That is, aftercooler and high-pressure cylinder test. One of the possible failure modes that may be diagnosed while performing Test 2, as shown at decision diamond 222, is an aftercooler leak. In the event of an aftercooler leak at block 224, one proceeds to replace the aftercooler in-situ. To verify that the aftercooler leak has been corrected, one would return to block 220 and repeat Test 2. As shown at decision diamond 226, another possible failure mode that may be detected while performing Test 2 is a malfunctioning high-pressure valve, e.g., a malfunctioning intake high-pressure valve. If a malfunctioning high-pressure valve is detected, then one proceeds to block 228 to perform a corrective action in-situ, such as replacing the high-pressure cylinder head assembly. To verify that the high-pressure valve malfunction has been corrected one may return to block 220 to restart Test 2. As shown at decision diamond 230, a third possible failure mode that may be detected while performing Test 2 would be to detect a high-pressure cylinder wall leak. If such a high-pressure cylinder wall leak is detected, one proceeds through connecting node 100 to block 244 to remove the compressor from the locomotive for compressor overhaul at the specialized service site. Once Test 2 has been successfully passed, one proceeds to block 232 to perform Test 3. That is, the crankcase pressurization test. As shown at decision diamond 234, in the event no crankcase seal leak is detected, one then proceeds to block 236 to perform the air compressing capacity test. In the event a crank case seal leak is detected, one proceeds to block 238 to replace the crankcase seals. As shown at decision diamond 240, if the air compressing capacity is determined to be within an appropriate range of volume of pressurized air this would be the end of the test sequence as shown at block 242. If the air compressing capacity is unacceptable, then one proceeds to block 244 to remove the compressor from the locomotive for a compressor overhaul servicing. Aspects of the present invention can also be embodied as computer readable code on a computer readable medium. The computer readable medium may be any data storage device that can store data, which thereafter can be read by a computer system. Examples of computer readable medium include read-only memory, random-access memory, CD-ROMs, DVDs, magnetic tape, optical data storage devices. The computer readable medium may also be distributed over network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion. Based on the foregoing specification, aspects of the present invention may be implemented using computer programming or engineering techniques including computer software, firmware, hardware or any combination or subset thereof. Any such resulting program, having computer-readable code means, may be embodied or provided within one or more computer-readable media, thereby making a computer program product, i.e., an article of manufacture, according to aspects of the invention. The computer readable media may be, for example, a fixed (hard) drive, diskette, optical disk, magnetic tape, semiconductor memory such as read-only memory (ROM), etc., or any transmitting/receiving medium such as the Internet or other communication network or link. The article of manufacture containing the computer code may be made and/or used by executing the code directly from one medium, by copying the code from one medium to another medium, or by transmitting the code over a network. An apparatus for making, using or selling the invention may be one or more processing systems including, but not limited to, a central processing unit (CPU), memory, storage devices, communication links and devices, servers, I/O devices, or any sub-components of one or more processing systems, including software, firmware, hardware or any combination or subset thereof, which embody the invention as set forth in the claims. User interface may be provided by way of keyboard, mouse, pen, voice, touch screen, or any other means by which a human can interface with a computer, including through other programs such as application programs. While the preferred embodiments of the present invention have been shown and described herein, it will be obvious that such embodiments are provided by way of example only. Numerous variations, changes and substitutions will occur to those of skill in the art without departing from the invention herein. Accordingly, it is intended that the invention be limited only by the spirit and scope of the appended claims.
047754958
description
DESCRIPTION OF PREFERRED EMBODIMENTS In the ground disposal of a radioactive waste, it is preferred to use a solidifier having a high conformity with soil and rocks. A solidification process wherein cement or sodium silicate (water glass) is used as the solidifier has been proposed. In the solidification, these solidifiers are mixed with a suitable amount of water and powdered waste. However, when the powdered waste is chemically reactive with the solidifier, the solidifier exerts a significant influence on the waste package thus formed, since the contact surface area between the powdered waste and the solidifier and water is large. Further, if the powdered waste is soluble in water, it is dissolved in water penetrated therein through pores of the waste package and, therefore, the waste containing radioactive nuclides exudes. This problem is serious when a dry powder mainly comprising Na.sub.2 SO.sub.4 prepared from a concentrated BWR liquid waste is solidified. For example, when sodium sulfate (Na.sub.2 SO.sub.4) powder is solidified with cement, calcium aluminate (3CaO.multidot.Al.sub.2 O.sub.3) and calcium hydroxide [Ca(OH).sub.2 ] in the cement react with sodium sulfate (Na.sub.2 SO.sub.4) to form ettringite according to the following formula (1) to increase the volume and, as a result, to break the waste package: ##STR1## Though the reaction of the above formula (1) does not occur and the problem of the increase of the volume can be solved when sodium silicate (water glass) is used as the solidifier, it is quite difficult to prevent exudation of soluble sodium sulfate from the waste package and, therefore, the leakage of radioactive nuclides (such as .sup.60 Co and .sup.134 Cs) cannot be controlled easily. To solve the above-mentioned problems, it is necessary to make sodium sulfate water-insoluble. For this purpose, a process wherein the surface of sodium sulfate is coated with a resin has been proposed (see Preprints for Hosha-sei Haikibutsu Forum, 1984). However, this process has defects that an additional device is necessitated for stirring a mixture of sodium sulfate and the resin at a high speed and that the volume of the waste is increased. Though a technique of insolubilizing boric acid or sodium borate has been proposed (see the specifications of Japanese Patent Laid-Open Nos. 186099/1983 and 12399/1984), this process cannot be employed in the treatment of sodium sulfate. This process comprises adding barium hydroxide, calcium hydroxide or the like to a concentrated liquid waste containing boric acid or sodium borate to obtain a slurry having a high viscosity and solidifying the slurry with cement. However, when a concentrated liquid waste containing sodium sulfate as the main component is treated by this process, no slurry having a high viscosity can be obtained but an alkaline aqueous solution containing precipitates suspended therein is obtained, and this solution cannot be solidified directly with cement, since cracks are formed in the formed solidified body by the alkali component in the alkaline aqueous solution. Under these circumstances, development of a convenient process for solidifying a concentrated liquid waste particularly, concentrated BWR liquid waste containing sodium sulfate as the main component to form a solidified body having a high durability at a low cost has eagerly been demanded. The present invention has been completed on the basis of an idea that sodium sulfate contained in the radioactive, concentrated liquid waste as the main component is converted into an insoluble alkaline earth metal salt by reacting it with an alkaline earth metal hydroxide and sodium hydroxide formed as the by-product is reacted with silicic acid to form sodium silicate (water glass). Sodium sulfate contained in the radioactive, concentrated liquid waste as the main component is rapidly soluble in water because of its high water solubility (about 20 wt. % at 25.degree. C.) and an extremely high deliquescent property. Therefore, when sodium sulfate is mixed with a hydraulic solidifier such as cement or water glass, it is dissolved in water or deliquesces and, even after the solidification, it is extremely highly soluble in water. When the waste package is immersed in water, water penetrates therein through micropores in the body to dissolve and exude sodium sulfate rapidly. Occasionally, the waste package per se is disintegrated by a peeling phenomenon. On the contrary, alkaline earth metal sulfates such as calcium, barium or strontium sulfate have a solubility in water of as low as up to 1 wt. %. The inventors have noted this fact. When an alkaline earth metal ion is added to a concentrated liquid waste, sodium sulfate is chemically converted into an alkaline earth metal sulfate to form an insoluble precipitate according to the following formula (2): ##STR2## Though the alkaline earth metal ion may be used also in the form of its salt such as chloride or nitrate, the alkaline earth metal hydroxide is used preferably, since when the salt is used, a soluble sodium salt might be formed from Na.sup.+ formed according to the above formula (2) in addition to the intended alkaline earth metal salt and this is undesirable from the viewpoint of the volume reduction. When an alkaline earth metal hydroxide is used, sodium hydroxide is formed in addition to the insoluble salt as shown in the following formula (3): EQU Na.sub.2 SO.sub.4 +M(OH).sub.2 .fwdarw.MSO.sub.4 +2NaOH . . . (3) Sodium hydroxide thus formed is usable as a starting material for water glass used as the solidifier as will be described below and, in addition, this technique is preferred from the viewpoint of the volume reduction. FIG. 1 shows efficiencies of insolubilization reactions according to the above formula (3) obtained when barium hydroxide and calcium hydroxide are added to a concentrated liquid waste. It is apparent from FIG. 1 that when barium hydroxide is used, an efficiency of 100% can be obtained in 1 h at 80.degree. C. When calcium hydroxide is used, a longer reaction time is necessitated, since the efficiency is lowered to only a fraction of that of barium hydroxide and, therefore, a higher cost than that required of barium hydroxide is necessitated. Thus, barium hydroxide is preferred to calcium hydroxide. The order to preference is: barium&gt;calcium&gt;strontium&gt;magnesium. Though the alkaline earth metal hydroxide may be used in the form of either powder or solution, powder is preferred from the viewpoint of saving the capacity of the reactor. When powder is used, water is necessitated at least in such an amount that the powder is dissolved therein, since the reaction takes place after the powder is dissolved in water to form the alkaline earth metal ion. No problem is posed in this point, since the concentrated liquid waste has a concentration of about 20 wt. %. When barium hydroxide is added to the concentrated liquid waste, insoluble barium sulfate is formed. At the same time, the waste becomes turbid because of the presence of barium sulfate particles suspended therein. The liquid waste is not viscous and easily filterable. The filter cake comprises a mixture of barium sulfate formed by the insolubilization reaction and radioactive crud formed in the atomic power plant. The solid may be disposed after solidifying with any solidifier such as cement, water glass or plastic. On the other hand, the filtrate comprises an aqueous soidum hydroxide solution. Though this solution may be recovered, if necessary, as it is, it is reacted with silicic acid according to the present invention to form sodium silicate (water glass) to be used as the solidifer according to the following formula (4): ##STR3## In this step, powdered silicic acid is added to the aqueous sodium hydroxide solution and the mixture is stirred to form white silicic acid particles suspended therein in a collidal state. As the reaction proceeds, the amount of the particles is reduced and the solution turns gradually into a transparent, viscous liquid, i.e. water glass. Water is evaporated off suitably from the water glass which may be recovered for use as a starting material for the solidifer to form a firm waste package by adding a hardening agent such as silicon phosphate. Thus, the radioactive liquid waste can be disposed effectively by adding an alkaline earth metal hydroxide to the radioactive liquid waste containing sodium sulfate to form an insolubilized precipitate, separating the precipitate, solidifying the separated precipitate with a solidifier, adding a silicon oxide compound to the remaining aqueous sodium hydroxide solution to form water glass and recovering the water glass. In another embodiment, the water glass production process may be connected with the sodium sulfate insolubilization process. More particularly, the alkaline earth metal hydroxide is added to the radioactive liquid waste containing sodium sulfate to convert the latter into an insolubilized solid, then the silicon oxide compound is added to a liquid mixture of the solid and the formed aqueous sodium hydroxide solution to form water glass and the hardening agent is added thereto to solidify the whole mixture. Examples of the hardening agents include those comprising silicon polyphosphate as the main component and a small amount of cement. The solidification of the whole mixture with the formed water glass may be effected by concentrating the liquid mixture of the insolubilized solid and the formed water glass and then solidifying the same when the hardening agent or by completely drying and pulverizing the mixture with a centrifugal thin film dryer or the like and then adding the hardening agent and water thereto to form a solidified body. The dry powder may be pelletized prior to the addition of water and the hardening agent. The higher the temperature, the higher the rates of the insolubilization reaction and water glass forming reaction. However, from the viewpoints of the practical procedure and the cost, a temperature in the range of about 40.degree. to 80.degree. C. is preferred. According to our experiments, the reactions were completed in about 1 h at a temperature in said range without posing any problem. As described above, the process of the present invention has been developed on the basis of experimental results that soluble sodium sulfate can be converted easily into an insoluble salt with an alkaline earth metal hydroxide and by-product sodium hydroxide can be used as the starting material for water glass used as the solidifier. According to the process of the present invention, a waste package having a high water resistance can be prepared at a low cost. The process of the present invention will be illustrated with reference to the accompanying drawings. FIG. 2 shows a system of an embodiment of the present invention. In FIG. 2, a concentrated liquid waste is fed from a concentrated liquid waste tank 1 into a mixing reaction tank 4. Barium hydroxide is also fed therein from a barium hydroxide tank 2. A liquid mixture of the concentrated liquid waste and barium hydroxide in the tank 4 is stirred at a temperature kept at 40.degree. to 80.degree. C. for about 1 h to carry out the reaction and to insolubilize sodium sulfate. Then, silicic acid is fed into the tank 4 from a silicic acid tank 3 and the mixture is stirred at 80.degree. C. for 1 h to carry out water glass forming reaction. After completion of the reaction, the waste solution is introduced into an evaporative concentrator 5 and concentrated by evaporation therein while vapor 13 is discharged therefrom. The concentrated solution is introduced into a concentrated solution storage tank 7. The concentrated solution is measured with a load cell 6 and then poured into a drum 11. At the same time, a hardening agent is poured therein from a hardening agent tank 10 and the mixture is kneaded with a stirrer 8 while water is poured therein suitably from a water tank 9 to control the viscosity of the mixture. After thorough kneading, the mixture is solidified. The reaction liquid formed in the mixing reaction tank 4 may be completely dried and pulverized prior to the solidification. When the waste is stored intermediately in the form of compression-molded products such as pellets, the above-mentioned process wherein the liquid is not directly solidified but dried and powdered prior to the solidification is highly effective. When it is intended to increase the treatment rate in the drying and pulverization step, a drying pulverizer 12 which has been developed and used practically already may be replaced with the same evaporative concentrator 5 as in FIG. 2 as shown in FIG. 3. By this replacement, the treatment rate is increased 5-folds. FIG. 4 shows a weight reduction rate of the waste pack age prepared by the above-mentioned process comprising the insolubilization and water glass preparation steps observed when it is immersed in water (curve 1) as compared with that of a product obtained by solidifying the dry powder obtained from the concentrated waste liquor without the insolubilization step (curve 2). The packing rate of the waste was set at 50 wt. % in both cases. The solidified body prepared by the process of the present invention was saturated with a reduction rate of around 5% and no more reduction was observed. The 5% reduction was due to exudation of a soluble salt formed by the reaction with the hardening agent in the step of hardening of the water glass. This exerts no influence on the durability of the solidified body or exudation of radioactive isotopes. FIG. 5 shows the compressive strength of the solidified body obtained as above. It is apparent that it has a sufficient capacity, the maximum strength being 270 kg/cm.sup.2. It will be understood that the compressive strength depends significantly on the ratio of SiO.sub.2 to Na.sub.2 O, i.e. the composition of the water glass. In this embodiment, the composition of the water glass represented by the chemical formula: Na.sub.2 O.multidot.nSiO.sub.2 can be controlled suitably, since it also is prepared in the apparatus used in the process of the present invention. The intended composition of the water glass can be obtained easily by controlling the amount of silicic acid added to sodium hydroxide formed as the by-product in the insolubilization step. In FIG. 5, the ratio of SiO.sub.2 to Na.sub.2 O for obtaining the compressive strength of at least 150 kg/cm.sup.2 (i.e. the standard in the sea disposal of wastes) is in the range of 1 to 4. It is thus preferred to prepare water glass having an SiO.sub.2 /Na.sub.2 O ratio in this range. FIG. 6 shows changes in the water resistance of the solidified body with the SiO.sub.2 /Na.sub.2 O ratio determined by immersion in water. The larger the relative amount of SiO.sub.2, the higher the water resistance. The water resistance becomes constant with an SiO.sub.2 /Na.sub.2 O ratio of higher than 1, since the water resistance is reduced as the amount of Na.sub.2 O which forms the soluble salt is increased, while SiO.sub.2 constituting the main skeleton of the solidified body is essentially insoluble. With reference to the optimum range of the uniaxial compression strength shown in FIG. 5, it will be apparent that the optimum SiO.sub.2 /Na.sub.2 O ratio is 1 to 4. According to the process of the present invention, the water resistance of the solidified body can be improved remarkably, since sodium sulfate contained in the radioactive concentrated waste liquor as the main component can be converted into an insoluble alkaline earth metal sulfate. More particularly, the weight reduction rate can be reduced from 30% to 5% and, therefore, exudation of radioactive nuclides from the solidified body can be reduced remarkably and the durability of the solidified body can be improved. Further, the preparation cost of the solidified body is reduced to about 1/4 of that of the conventional processes, since water glass is also prepared in the process of the present invention.