{ "0": "\uc800\uc18c\ub4dd \ucde8\uc57d\uacc4\uce35 \uc9c0\uc6d0 300", "1": "empty", "2": "23\ucc28(\ud76c\ub9dd97\uad8c/\uae34\uae0912\uad8c) 109\uad8c", "3": "40\u2019 \uc774\uc74c\ub2e8 \uc5c5\ubb34 \ub9e4\ub274\uc5bc \uad50\uc721 \uc5c5\ubb34\ub2f4\ub2f9 \uc8fc\ubb34\uad00", "4": "\uc218\uae30 \uc2e4\uc801", "5": "130\uac1c", "6": "14\uba85", "7": "\ud1a0\uc694\uac15\uc5f0 \ubc0f \uc628\ub77c\uc778 \uac15\uc5f0", "8": "20mm", "9": "empty", "10": "15\uac1c", "11": "empty", "12": "15,868,600\uc6d0", "13": "\ub09c\uac04\uc124\uce58(\ucca0\uc7ac)\uc678 129\uc885", "14": "empty", "15": "empty", "16": "20", "17": "\ud0f1\ud06c\ucc28", "18": "\ubd80\ub2f9\uc774\ub4dd\uae08, \uc18c\uae09\uacb0\uc81c \ubc0f \ubcf4\uac15\uacb0\uc81c \uc81c\uacf5\uae30\ub85d\uc9c0 \ubbf8\uae30\uc785 \ub4f1", "19": "empty", "20": "empty", "21": "6\uce35 2\ub300 1\ub300", "22": "empty", "23": "empty", "24": "empty", "25": "\uc655\uc2ed\ub9ac119\uc548\uc804\uc13c\ud130", "26": "\uace0\ub355\ub3d9 \uc77c\ub300", "27": "empty", "28": "\uc774\ub3d9\uc815\ube44\ubc18\uc18c", "29": "1", "30": "empty", "31": "CD 1\ubd80\uc2ec\uc758\uc758\uacb0\ubcf4\uc644\uc11c 6\ubd80", "32": "1", "33": "30~08:30", "34": "empty", "35": "\uc5ec\uc758\ub3c4\uc218\ub09c\uad6c\uc870\ub300", "36": "empty", "37": "4.14. \uc218\uc6b4\uc601\ud1b5\ud569 DB \ucd94\uac00 \ubc31\uc5c5(\ubcf4\uad00\uc8fc\uae30 1\uac1c\uc6d4", "38": "6\uc2dc\uac04X13\uc77c", "39": "\uc7a0\uc2e4\ud55c\uac15\uacf5\uc6d01\uac1c\uc18c", "40": "empty", "41": "\uae30\ud6c4\ubcc0\ud654\ub300\uc751\uacfc", "42": "empty", "43": "\uc624\uc6d4\uc758 \ube0c\ub78c\uc2a4", "44": "empty", "45": "\uc2f8\uc774\uc5b8\uc2a4 \ud640", "46": "\ud2b9\uc774\uc0ac\ud56d\uc5c6\uc74c", "47": "\ub178\uc6d0\uad6c \uc6d4\uacc4\ub3d9 \uc77c\ub300", "48": "empty", "49": "\ub0a8\ub300\ubb38\uacbd\ucc30\uc11c\uc0dd\ud65c\uc548\uc804\uacfc", "50": "empty", "51": "empty", "52": "\uc2dc\ud5d8\uc7a5 \u21d2 \uc790\ud0dd \uc774\uc1a1\uad6c\uae09\ub300", "53": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc5d0\ub108\uc9c0 \uc870\ub840", "54": "- \ud504\ub85c\uadf8\ub7a8 \uc791\ub3d9 \uc0c1\ud0dc, VMS \uc11c\ubc84 \uc124\uce58 \uc815\ubcf4, DB \uc810\uac80, VMS \ucf58\uc194 \uc218\ub7c9 \ud655\uc778 \ub4f1", "55": "empty", "56": "\uc9d1\ud569 13\uc2dc\uac04", "57": "DDP \uc8fc\ubcc0", "58": "\uc2e0\uaddc\uad50\uc721", "59": "\uc6b4\uc601\uc9c0\uc6d0\uacfc,\uae30\ud68d\uc7ac\uc815\ub2f4\ub2f9\uad00 \uae30\ud68d\uc870\uc815\uad00\uad50\uc721\ud6c8\ub828\ub2f4\ub2f9\uad00", "60": "2020\ub144 \uacbd\uc0c1\ubcf4\uc870 2020\ub144 \uce58\ub9e4\uc548\uc2ec\uc13c\ud130 \uc6b4\uc601", "61": "3\uac1c", "62": "empty", "63": "14\uac1c\uc18c", "64": "30H", "65": "empty", "66": "\ube44\ub2d0\ud558\uc6b0\uc2a4 83\ub3d9", "67": "empty", "68": "\uc790\uc704\uc18c\ubc29\ub300 \uad6c\uc131 \ub4f1 \uc548\uc804\uad00\ub9ac\uc790 \uc5c5\ubb34\uc218\ud589 \ud655\uc778\ud568", "69": "\ub808\uc9c0\uc624\ub12c\ub77c\uc99d", "70": "10\uc5b5\uc6d0", "71": "\uad50\ub7c9\uc548\uc804\uacfc", "72": "700", "73": "empty", "74": "PR5-108G 2 \ud65c\uc131\ud0c4\ud761\ucc29\uc9c0\uc5d0 \uc0ac\uc6a9", "75": "5.17(\uc6d4) \uace0\uc6d0\ucd08\ub4f1\ud559\uad50", "76": "4\uac1c", "77": "\ud1a0\ud30c\uba54\ub4dc\uc815 100mg \uacf5\uaca9\uc131\uc99d\uac00, \ubd88\uba74", "78": "\ud604\uc218\ub9c9, \uc548\ub0b4\ubb38 \uac8c\uc7ac \ub4f1", "79": "\uae30\uc874\uadfc\ubb34\uc2dc\uac04", "80": "empty", "81": "empty", "82": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44", "83": "empty", "84": "10 8", "85": "empty", "86": "\uad11\uc5ed \uc2dc\u00b7\ub3c4 \uae30\ucd08 \uc2dc\u00b7\uad70\u00b7\uad6c", "87": "\ub9c8\ud3ec\uad6c", "88": "empty", "89": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc790\uc5f0\uc0dd\ud0dc\uacfc", "90": "\uc2dc\uc120\uc774 \ub9cc\ub098\ub2e4", "91": "empty", "92": "PT\ubc1c\ud45c", "93": "empty", "94": "1 475\ud638", "95": "\uc9c0\uc0c15\uce35 \ub300\uac15\ub2f9 \ubb34\ube59 \ud30c\ud2f0\uc158 \uc124\uce58", "96": "\uc0c1\uc218\ub3c4 \uc5c5\ubb34 \ubbfc\uc6d0\uc778 \uba85\ubd80 - \uc0ac\uc6a9\ub8cc 500\ub9cc \uc6d0 \uc774\uc0c1 \ubbfc\uc6d0\uc778", "97": "empty", "98": "\uc11c\uc6b8\uc2dc \uccad\ub144\uc13c\ud130 \ub3d9\ub300\ubb38 \uc624\ub791 \ubc29\ubb38\uae30", "99": "empty", "100": "empty", "101": "empty", "102": "empty", "103": "65\ubd84", "104": "empty", "105": "empty", "106": "empty", "107": "\uae083,295,470\uc6d0", "108": "empty", "109": "\uae30\ud68d\uc870\uc815\uc2e4\uae30\ud68d\ub2f4\ub2f9\uad00", "110": "\uc885\ub85c\uad6c \uad00\ub0b4 \ud6a8\uc790\ubc30\uc218\ubd84\uad6c", "111": "empty", "112": "\uc1a1\ud48d\ub3d9 \uc0ac\ubb34\uc2e4 \uc785\uad6c \uc815\ube44 \ubd80\ub300\uacf5", "113": "10\uc7a5", "114": "empty", "115": "empty", "116": "empty", "117": "empty", "118": "1", "119": "258,350,000\uc6d0", "120": "1 \uac10\uc5fc\uad00\ub9ac \u2024 \ucf54\ub85c\ub09819 \uc704\uae30\ub300\uc751\u2024 \uc190 \uc704\uc0dd \uc218\ud589\u2024 \uc9c1\uc6d0 \uc548\uc804 \uac10\uc5fc\uad00\ub9ac", "121": "30\uc2dc\uac04 \uc774\uc0c1", "122": "\uae08\ucc9c\uad6c", "123": "\uacfc\ucc9c\uc81c1802\ud638", "124": "empty", "125": "2 \ubca0\ud1a0\ub9b0\ub124\ubdf8 2.5ml 2021. 5. 21.", "126": "10.0 \uc544\ube4c\ub9ac\ud30c\uc774\uc81515mg \uac10\uc815\ud765\ubd84", "127": "15 \ub9c8\ub300", "128": "\uc11c8115", "129": "empty", "130": "1", "131": "\uac15\ub0a8\uad6c, \uc131\ub3d9\uad6c, \uad11\uc9c4\uad6c \uc77c\ub300", "132": "\uc9c0\uc0c1\uc2dd", "133": "\ub0c9\ubc29 21/\ub09c\ubc29 22.7(\uc9c1\ub9bd\ud615) 1 2004 \uc2dd\ub2f9", "134": "empty", "135": "empty", "136": "1%\uc774\uc0c1", "137": "65,000\uc6d0", "138": "\ub9c8\uc744\uc5b8\ub355\uc0ac\ud68c\uc801\ud611\ub3d9\uc870\ud569", "139": "30", "140": "15\uc810", "141": "20\uc810- 15\uc810- 10\uc810", "142": "empty", "143": "9", "144": "empty", "145": "\ud558\ub298\ub3c4\uc11c\uad00", "146": "\ub9c8\ub85c\ub2c8\uc5d0 \uacf5\uc6d0 \uc88b\uc740 \uacf5\uc5f0 \uc548\ub0b4\uc13c\ud130 \ub2e4\ubaa9\uc801\ud640", "147": "\uccad\uacc4\ucc9c \ud5cc\ucc45\ubc29\uac70\ub9ac", "148": "10\uc810", "149": "empty", "150": "empty", "151": "empty", "152": "\uc18c\ubc29\ud589\uc815\uacfc\uc7a5", "153": "11370", "154": "empty", "155": "empty", "156": "empty", "157": "\uc785\uc8fc\uc790\ubaa8\uc9d1\uacf5\uace0\uc77c 3/18", "158": "empty", "159": "1", "160": "6\uac74", "161": "\uc804\uc2dc\uae30\ud68d\uacfc", "162": "2\ub144", "163": "empty", "164": "1 \ubc95\uc6d0\uc815\ubb38 \uc55e\ub9c9\ud798", "165": "20\uc810", "166": "empty", "167": "\uc778\uba85\ud53c\ud574 \ubcf8 \ubd80 \ub2f9\uc9c1 : 3\uba85", "168": "empty", "169": "\ud544\uc218\uc0ac\uc5c5\ud0c0\ub2f9\uc131", "170": "7\uac74", "171": "1969", "172": "20", "173": "\uc6a9\ub4505\uad6c\uc5ed \uc8fc\ud0dd\uc7ac\uac1c\ubc1c \uc815\ube44\uc0ac\uc5c5", "174": "empty", "175": "10\uba85", "176": "100\uba85", "177": "empty", "178": "\uc6d4 1\ud68c \ub2f4\ub2f9 \ub300\uc0c1\ucd9c\uc7a5", "179": "\uc11c\uc6b8\ubb3c\uc5f0\uad6c\uc6d0", "180": "empty", "181": "2020.11.23", "182": "empty", "183": "10,000\uc6d0", "184": "empty", "185": "empty", "186": "\uc11c\uc6b8(\uc11c\ub300\ubb38\uad6c) \uc801\uadf9\ud589\uc815\uc744 \ud1b5\ud55c \uc704\uae30 \ubc18\ub824\ub3d9\ubb3c \uad6c\uc870 \ubc0f \uc778\uacc4", "187": "empty", "188": "10\uc7a5 \uc774\uc0c1", "189": "100\ubc31\ub9cc\uc6d0 \uc774\ub0b4", "190": "41\uba85", "191": "empty", "192": "6\uc2dc\uac04", "193": "15\ubd84", "194": "empty", "195": "\uc6b0\ub9ac\uc9d1\uacf5\ub3d9\uccb4 5\uc6d4", "196": "\uc18c\ud654\uae30\uad6c\uacbd\ubcf4\uc124\uc2dc\ud53c\ub09c\uc124\ube44 2021\ub144\ub3c4 \uc18c\ubc29\uc2dc\uc124 \uc791\ub3d9\uae30\ub2a5 \uc810\uac80\uc2e4\uc2dc", "197": "\ubd81\ubd80\ub3c4\ub85c\uc0ac\uc5c5\uc18c", "198": "empty", "199": "\uc1a1\ud30c\ub300\ub85c, \uc62c\ub9bc\ud53d\ub85c, \uc911\ub300\ub85c \ub4f1", "200": "empty", "201": "empty", "202": "empty", "203": "empty", "204": "15\uac1c\uc18c(\ud558\ucc9c \ubc0f \uc800\uc9c0\ub300 \ub4f1) 5~10\uc6d4", "205": "empty", "206": "empty", "207": "empty", "208": "empty", "209": "LG\ud718\uc13c \ub9e4\ub9bd\ud615", "210": "10\uac1c\uc18c", "211": "empty", "212": "empty", "213": "\uc81c\uc8fc \ubabb\ub09c\uc774 \uac10\uade4\ub958\uc758 \uc628\ub77c\uc778 \ud50c\ub7ab\ud3fc \uae30\ubc18 \ud655\ub9bd", "214": "10\uc570\ud50c", "215": "\u2464\ud654\uc7ac\uc758 \uc608\ubc29\uc870\uce58 \ub4f1\uc5d0 \uad00\ud55c \uc0ac\ud56d", "216": "empty", "217": "\uc0ac\uc6a9\ucc98 \ubc1c\uacac\uce58 \ubabb\ud568", "218": "59,550\uc6d0", "219": "empty", "220": "\ub9c8\ud3ec\ub300\uad50", "221": "empty", "222": "\uc5f0\uac74119\uc548\uc804\uc13c\ud130", "223": "empty", "224": "\ud48d\uc218\ud574 19\uac1c\uc18c", "225": "empty", "226": "empty", "227": "empty", "228": "empty", "229": "\uc911\uad6c", "230": "\ucd9c\uc785\uad6c \ub4f1 \ud53c\ub09c\uc2dc \uc7a5\uc560 \uc694\uc778 \ud655\uc778 \ud55c \ubc14 \uc774\uc0c1\uc5c6\uc74c", "231": "\ubc30\uc218\uad6c \ub9c9\ud798", "232": "300", "233": "30\uc5ec\uc885", "234": "empty", "235": "\uc11c\uc6b8\uae30\ub85d\uc6d0", "236": "PC\uc640 \uc2a4\ub9c8\ud2b8\ud3f0\uc73c\ub85c\uc601\uc0c1\ud3b8\uc9d1 \ub9c8\uc2a4\ud130\ud558\uae30", "237": "\uc7a5\ube44 \uc870\uc791\ud6c8\ub828", "238": "30\uc138\ub300", "239": "90\uc810 \uc774\uc0c1 20% \uc774\ub0b4", "240": "empty", "241": "2.5\ud1a4", "242": "\uccad\uc8fc\uc655\uad7c\ubcb5\uc774\ub18d\uc7a5", "243": "\uc5ed\uc0ac\ub3c4\uc2ec\uc7ac\uc0dd\uacfc", "244": "\uc62c\ub9bc\ud53d\ub300\uad50 \ub0a8\ub2e8IC \uc5f0\uacb0\ub85c \uad6c\uc870\uac1c\uc120 \uacf5\uc0ac", "245": "20\uc77c\uc804 10\uc77c\uc804 9\uc77c~1\uc77c", "246": "empty", "247": "empty", "248": "41\uba85", "249": "empty", "250": "30", "251": "empty", "252": "5\ud68c 270\uba85", "253": "550kg", "254": "2013\ub144 10\uc6d4", "255": "\uc11c\uc6b8\ubb3c\uc5f0\uad6c\uc6d0", "256": "empty", "257": "empty", "258": "empty", "259": "empty", "260": "SindohMF2101", "261": "\uad6c\uc870\ubc84\uc2a4", "262": "\uc7ac\uc0dd\uc815\ucc45\uacfc", "263": "\ucd94\ucc9c\uc77c \uae30\uc900 2\ub144 \uc774\ub0b4 \uc11c\uc7a5\uc774\uc0c1 \ud45c\ucc3d \uc218\uc0c1\uc790", "264": "2\uc7a5", "265": "\ub4dc\ub860\uc870\uc885\uc790\uaca9\uc790 \uc774\uc0c1", "266": "\uad11\uc7a5\ub3d9 \uc624\uc218\ud38c\ud504\uc7a5 \uc6b4\uc601", "267": "1", "268": "\uc18c\ubc29\uc2dc\uc124\uad00\ub9ac\uc0ac \ucc38\uc5ec\uc5ec\ubd80", "269": "empty", "270": "empty", "271": "empty", "272": "\uc815 \uc218 \uc9c0 \uce68 3556", "273": "\uc22d\ub840\ubb38", "274": "20", "275": "\uc2dc\ub9bd \ub0a8\ub300\ubb38\ucabd\ubc29\uc0c1\ub2f4\uc18c", "276": "SOP 500 \uc885\ud569\uc0c1\ud669\uc2e4,\ud1b5\uc2e0\uc5f0\uad6c\ud300", "277": "\ubb38\ud654\uc608\uc220\uacfc", "278": "22", "279": "empty", "280": "70\uc810) \u00b7 \uc2dc\ubbfc\uc758\uc232 \uaf43\uae38 \uacb0\ud63c\uc2dd \uae30\ud68d\uc548", "281": "empty", "282": "empty", "283": "\uc751\ubd09\uacf5\uc6d0 \uc794\ub514\uad11\uc7a5 \ub178\ud6c4\ud0c4\uc131\ud2b8\ub799 \uc815\ube44\uacf5\uc0ac", "284": "\uc218\uc804\uc18c\uc7ac\uc9c0", "285": "87\uad8c", "286": "50", "287": "\uc2dd\ud488", "288": "empty", "289": "800", "290": "empty", "291": "2\ucf54\ud2b806\uc2dc~18\uc2dc", "292": "empty", "293": "empty", "294": "\ubd81\uc11c\uc6b8\uafc8\uc758\uc232", "295": "empty", "296": "empty", "297": "\uc704\ub840\ubc30\uc218\uc9c0", "298": "empty", "299": "empty", "300": "empty", "301": "1\ucc9c5\ubc31\ub9cc\uc6d0 2\ucc9c\ub9cc\uc6d0", "302": "empty", "303": "1,000\ubd80", "304": "\ubb38\ud654\uc608\uc220 \uc804\ubb38\uc778\ub825 \uc591\uc131 \ud504\ub85c\uadf8\ub7a8 6 \uc11c\uc6b8\uc5d0\ub108\uc9c0\uacf5\uc0ac", "305": "empty", "306": "\uad6c\ub8e1\ub9c8\uc744 \uc18c\ubc29\ud30c\uacac\uc18c \uc784\ucc28\ub8cc 6000", "307": "empty", "308": "empty", "309": "1 5451 \ub178\ud574\ub85c 70\uae38 96", "310": "empty", "311": "30", "312": "empty", "313": "241", "314": "\ud604\uc7a5\ub3c4\ucc29\uc2dc\uac04 18\uc2dc12\ubd84", "315": "empty", "316": "15\uba85 \ub0b4\uc678", "317": "18\uac1c\uc18c(\ud558\ucc9c \ubc0f \uc800\uc9c0\ub300 \ub4f1) 5~10\uc6d4", "318": "empty", "319": "7\uc77c \uc774\ud558", "320": "empty", "321": "430,000\uc6d0", "322": "\uac15\ub3d9\uc18c\ubc29\uc11c\ud604\uc7a5\ub300\uc751\ub2e8", "323": "65\ubd84", "324": "\ube44\ub300\uba74 \ub9e4\uccb4 \ud65c\uc6a9", "325": "empty", "326": "12\uc2dc\uac04 110mm\uc774\uc0c1, \ud55c\uac15\uc218\uc704 4.5m", "327": "\uc124\uacc4\uc0ac \uac10\ub9ac\uc0ac \uc2dc\uacf5\uc0ac", "328": "empty", "329": "7616\ud1b5", "330": "\uc11c\ubd80\uac04\uc120\uc9c0\ud558\ub3c4\ub85c", "331": "20) 1", "332": "empty", "333": "1.2km", "334": "empty", "335": "MTM800E", "336": "20", "337": "10,000\uc6d0", "338": "empty", "339": "empty", "340": "empty", "341": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \ub370\uc774\ud130\uc13c\ud130 \uad50\uc721\uc7a5", "342": "\ubcf4\uc874\uc815\ucc45\uacfc", "343": "2021.05.18. 0.15 6.9 0.25", "344": "empty", "345": "\ub354\uc0f5\uc2a4\ud0c0\uc2dc\ud2f0 1\ud300", "346": "empty", "347": "30\uba85", "348": "20\uc810", "349": "empty", "350": "\ud55c\uad6d\uc131\uc11c\ub300\ud559\uad50", "351": "2021.05. 20. \uc870\uc0ac\uc790", "352": "empty", "353": "20\uba85\u00d74\ud68c", "354": "78\uac1c", "355": "empty", "356": "1", "357": "\uc7ac\uc0dd\uc815\ucc45\uacfc", "358": "35\uc2dc\uac04", "359": "empty", "360": "empty", "361": "\uba54\uc778 \uba54\uad00 \ud68c\uc218\uc870 \uce21\uba74\uc774\ub3d9", "362": "A-23", "363": "empty", "364": "\uc11c\ubd81\uad8c\uc5ed", "365": "21.04.20", "366": "empty", "367": "\uffed \ub180\uc774\uc2dc\uc124 17\uc885", "368": "empty", "369": "empty", "370": "0.228", "371": "empty", "372": "empty", "373": "empty", "374": "\ubcf5\uc9c0\ubcf8\ubd80 \uc7a5\uc560\uc778\ubcf5\uc9c0\uc815\ucc45\uacfc", "375": "empty", "376": "1\uba85", "377": "\ud3ec\uc7a5\uacf5\uc0ac\uc5c5", "378": "\ud14c\ube14\ub9bf\uacfc \ubcf8\uccb4\uc5f0\uacb0\ubd88\ub7c9 \ubd80\ud488 \uad50\uccb4", "379": "empty", "380": "\uc1a1\uc218\uc2dc\uc124", "381": "1 \ub300", "382": "empty", "383": "empty", "384": "empty", "385": "\uc804\uc790\uacf5\uac1c\uc218\uc758\uacc4\uc57d 49610000", "386": "empty", "387": "30\ubd84", "388": "6\uc2dc\uac04X13\uc77c", "389": "empty", "390": "empty", "391": "10\ubd84", "392": "\uc11c\ubd80\uc218\ub3c4\uc0ac\uc5c5\uc18c", "393": "\uc740\ud3c9\uad6c", "394": "20\ubd84\ub0b4\ub3c4\ucc29 \ud38c\ud504\ucc28\ub7c9 1\ub300", "395": "197\uc815", "396": "empty", "397": "10,000\uc6d0", "398": "empty", "399": "10\ub9cc\uc6d0", "400": "empty", "401": "empty", "402": "empty", "403": "empty", "404": "\uc9c1\uc7a5\ub0b4 \uc131\ud76c\ub871 \uc608\ubc29\uad50\uc721", "405": "60\uba85 nan \uc6b4\uc804\uc6d0 125\uba85 72\uba85", "406": "empty", "407": "1,640\uba85", "408": "14\uba85", "409": "19\uba85", "410": "empty", "411": "\uc2dc\ubbfc\ucc38\uc5ec\ud589\uc0ac", "412": "35.2% 29.7% 33% 38%", "413": "4", "414": "empty", "415": "50A/\uac11 34A", "416": "\uad6c\ub85c\uc18c\ubc29\uc11c \uacf5\ub2e8119\uc548\uc804\uc13c\ud130", "417": "empty", "418": "empty", "419": "40\uc2dc\uac04", "420": "500%", "421": "empty", "422": "empty", "423": "\uac15\ubd81\uc18c\ubc29\uc11c \uad6c\uc870\ub300 21\uba85", "424": "\uc218\uc7a5\uace0", "425": "empty", "426": "30\ub144", "427": "empty", "428": "3\uac1c\uc18c", "429": "30\uba85", "430": "empty", "431": "empty", "432": "empty", "433": "\ub9dd\uc6d0\uacf5\uc6d0", "434": "empty", "435": "40000", "436": "empty", "437": "empty", "438": "empty", "439": "empty", "440": "\ub3c4\uc2dc\ub18d\uc5c5\uacfc", "441": "50\uba85", "442": "4\uc2dc\uac04", "443": "empty", "444": "\uc885\uc774\ubc15\uc2a4\ub4f1 \uc801\uce58\ubb3c\uc6d0\uc778\ubbf8\uc0c1 \uc18c\ud654\uae30", "445": "18\ucc28(\ud76c\ub9dd118\uad8c/\uae34\uae095\uad8c) 123\uad8c", "446": "empty", "447": "4\uba85", "448": "empty", "449": "\ub2f9\uc0ac\uc790 \ub4f1\uc744 \ub300\uc0c1\uc73c\ub85c \uc0ac\uc2e4\ud655\uc778 \ub4f1 \uac1d\uad00\uc801 \uc870\uc0ac\uc758\ubb34 \uad6c\uccb4\ud654", "450": "39\uba85", "451": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44\uc18c\ud654\ud65c\ub3d9\uc124\ube44", "452": "2021\ub14404\uc6d415\uc77c", "453": "1\uce35 \ubcf4\uc77c\ub7ec\uc2e4 \ubc30\uad00", "454": "empty", "455": "\ud55c\uad6d\uc18c\ubc29\uc548\uc804\uc6d0", "456": "empty", "457": "empty", "458": "\uc804\ub300\uc6d0 \ud6c8\ub828 \uc804\ub300\uc6d0 \ud6c8\ub828 \uc7a5\ube44\uc870\uc791\ud6c8\ub828", "459": "empty", "460": "empty", "461": "\uc5d0\uc2a4\ud4e8\uc5bc\uc140", "462": "empty", "463": "\uc8fc\ubbfc\ub4f1\ub85d\uc0c1 \uad00\ub0b4 \uac70\uc8fc \ub9cc 65\uc138 \uc774\uc0c1 \uace0\ud608\uc555\u00b7\ub2f9\ub1e8\ubcd1 \ud658\uc790", "464": "\uacbd\uc601\uad00\ub9ac\ubd80", "465": "empty", "466": "empty", "467": "empty", "468": "\uacf5\uc601\ud615\uc0ac\ub9bd\uc720\uce58\uc6d0\uc6b4\uc601\uc9c0\uc6d0", "469": "851\ub9e4", "470": "\uc7a5\ube44\ud68c\uacc4\ud300", "471": "\uc18c\ubc29\uc11c\ubcc4 \uc18c\ubc29\ud559\uad50 \uc18c\ubc29\ud559\uad50,\uc18c\ubc29\uc0b0\uc5c5\uae30\uc220\uc6d0", "472": "empty", "473": "30\uba85", "474": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \ub370\uc774\ud130\uc13c\ud130 \uad50\uc721\uc7a5", "475": "20", "476": "empty", "477": "\uc11c\uc6b806-**** \uac74\uc124\uae30\uacc4 \ub364\ud504\ud2b8\ub7ed", "478": "empty", "479": "\uad6c\uae09\ucc28", "480": "6. 15. ~ 6. 17., 3\uc77c", "481": "empty", "482": "empty", "483": "6", "484": "\uac74\ucd95/\uc124\ube44(8\ucc28\uc2dc) \ucc28\ub7c9/\uc5f4\ucc28\uc81c\uc5b4", "485": "7,659,000\uc6d0", "486": "empty", "487": "empty", "488": "\uac1c\ud3ec119\uc548\uc804\uc13c\ud130", "489": "empty", "490": "\ucc28\ub7c9\uc601\uc0c1\uc815\ubcf4 \ud1b5\ud589\ub8cc \ubd80\uacfc\ub97c \uc704\ud55c \uc720\ub8cc\ub3c4\ub85c\ubc95 \uac1c\uc815 \uac74\uc758 \uad6d\ud1a0\uad50\ud1b5\ubd80", "491": "empty", "492": "06\uc2dc25\ubd84", "493": "empty", "494": "100\uba85", "495": "empty", "496": "empty", "497": "2\ub144\uc774\uc0c1", "498": "60,000\uc5ec\uba85", "499": "empty", "500": "empty", "501": "empty", "502": "empty", "503": "empty", "504": "empty", "505": "15mm", "506": "empty", "507": "empty", "508": "57\uac1c\uc18c", "509": "\ud658\uacbd\uc815\ube44-29", "510": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44", "511": "1\uc77c", "512": "20", "513": "\ud589\uc815\uc9c0\uc6d0\uacfc", "514": "empty", "515": "1,146\uba85", "516": "empty", "517": "empty", "518": "empty", "519": "\uad00\uc545\uc544\ud2b8\ud640", "520": "empty", "521": "\ub3d9\ubd80\ub3c4\ub85c\uc0ac\uc5c5\uc18c", "522": "\ubd81\uc544\ud604\uad50\ud68c", "523": "\ub69d\ub3c4, \uad6c\uc758\ucde8\uc218\ud38c\ud504", "524": "empty", "525": "empty", "526": "\uad6c\ub85c\uad6c \ud64d\ubcf4\uc804\uc0b0\uacfc", "527": "\uc2dc\uc124\uad00\ub9ac\uacfc\uc7a5", "528": "empty", "529": "empty", "530": "empty", "531": "empty", "532": "2021-32 7732012", "533": "20\uc810", "534": "6 \ud611\uce58\uc0ac\uc5c5\uc758 \ud655\ub300\u30fb\ud655\uc0b0", "535": "\uccb4\uc628\uacc4", "536": "empty", "537": "400\ubc31\ub9cc\uc6d0", "538": "empty", "539": "7\uc2dc\uac04", "540": "\uc11c\uc6b8\uc2dc\ub18d\uc5c5\uae30\uc220\uc13c\ud130", "541": "\ud53c\ub09c\uc2dc\uc124\ub4f1", "542": "\uac00\uc131\uc18c\ub2e4 \ubc0f \uacfc\uc0b0\ud654\uc218\uc18c \uc800\uc7a5\ud0f1\ud06c \uad6c\uc870\uacc4\uc0b0\uc11c \ud544\uc694", "543": "6\uc6d426\uc77c", "544": "7\uc6d422\uc77c", "545": "\uc885\ub85c1\uac00", "546": "empty", "547": "\ud589\uc815\uc548\uc804\ubd80", "548": "empty", "549": "16 3\uc6d4 \ucc29\uacf5\uc2e0\uace0 \uc811\uc218\ub41c \ubaa8\ub4e0 \uacf5\uc0ac\uc7a5", "550": "empty", "551": "empty", "552": "empty", "553": "1996. 10. 1.3kW", "554": "\ub178\uc6d0\ucd08\ub4f1\ud559\uad50", "555": "\uc11c\uc6b8\uc2dc\ub9bd\ub300\ud559\uad50 \uc11c\uc6b8\ud559\uc5f0\uad6c\uc18c", "556": "\ucd1d\ud68c\uc5d0\uc11c \uc7ac\uc801\ud68c\uc6d0 \uacfc\ubc18\uc218 \ucd9c\uc11d/ \ub3d9\uc758", "557": "2\uc810", "558": "empty", "559": "796", "560": "\ud604\uc7a5\ub300\uc751\ub2e8", "561": "\ub3c4\ubd09\uc18c\ubc29\uc11c \ucc3d\ub3d9119\uc548\uc804\uc13c\ud130", "562": "53\uba85", "563": "empty", "564": "empty", "565": "empty", "566": "10\uba85", "567": "10\uba85", "568": "\uc2e4\uc2dc\uac04 \uc628\ub77c\uc778 \uc6d0\uaca9\uad50\uc721", "569": "empty", "570": "18\uba85", "571": "CCTV \ub179\ud654 \ubd88\ub7c9", "572": "\uc758\ubb34\uad6c\ub9e4 \ube44\uc728 \ucd1d \uad6c\ub9e4\uc758 1%\uc774\uc0c1", "573": "\ubb34\ud559 2\uc18c\ub300\ud38c\ud504\ucc28 \ucda9\ubb34\ub85c \ud38c\ud504\ucc28", "574": "empty", "575": "empty", "576": "empty", "577": "empty", "578": "\ud575\uc2ec\uac00\uce58\ud3c9\uac00", "579": "empty", "580": "empty", "581": "empty", "582": "-\ud654\uc7ac\uc758 \uc608\ubc29\uc870\uce58 \ub4f1\uc5d0 \uad00\ud55c \uc0ac\ud56d \uc900\uc218\ud568", "583": "198880000", "584": "empty", "585": "20190166", "586": "empty", "587": "empty", "588": "\uc0c1\ub2f4\ud0dc\ub3c4(45) \uc885\uacb0\ud0dc\ub3c4(15) \uc804\ubc18\uc801 \ub9cc\uc871\ub3c4", "589": "empty", "590": "empty", "591": "empty", "592": "empty", "593": "empty", "594": "\uc2dc\uc124\ube44", "595": "\uac15\ub0a8\uc18c\ubc29\uc11c", "596": "empty", "597": "16\uba85", "598": "\uac1c\ud3d0\ubc38\ube0c \uace0\uc7a5", "599": "\uc0b0\uc5c5\uac70\uc810\uc870\uc131\ubc18", "600": "empty", "601": "\uc548\uc804\uc810\uac80 \ub2f4\ub2f9\uc790", "602": "1,300\u33a1", "603": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \ub370\uc774\ud130\uc13c\ud130 \uad50\uc721\uc7a5", "604": "3\uac74", "605": "\ud604\uc7a5\ucc38\uc11d - \uc2dc\uc2a4\ud15c \uad00\ub9ac \uac01 1\uc778", "606": "15\uc810", "607": "empty", "608": "60,000\uc5ec\uba85", "609": "3.3.kg", "610": "22,710\uac00\uad6c 24,600\uac00\uad6c \ud589\uc815\uc9c0\uc6d0\uacfc", "611": "20mm", "612": "70\uba85", "613": "100mm", "614": "10\uac1c", "615": "\ub3c4\ub85c(\ucc28\ub3c4 \ubc0f \ubcf4\ub3c4) \ub0ae\ucda4\uc73c\ub85cKT\uc2dc\uc124\ubb3c \ub192\uc774\ub97c \ub3c4\ub85c\uc640 \uc77c\uce58", "616": "empty", "617": "10\uc810", "618": "30", "619": "\uc885\ub85c\uad6c\uccad", "620": "\uc2dc\uc2a4\ud15c \uad6c\uc870 \ubc0f \ubcf4\uc548", "621": "empty", "622": "\uc2e0\uacf5\ub355\ub3d9 ****\ubc88\uc9c0 \uc77c\ub300", "623": "empty", "624": "empty", "625": "empty", "626": "empty", "627": "200\u33a1", "628": "\uc6d0\uaca9\uad50\uc721\uc73c\ub85c \uad50\uc721\uc5ec\ube44 \uc5c6\uc74c \uc6d0\uaca9\uad50\uc721\uc73c\ub85c \uad50\uc721\uc5ec\ube44 \uc5c6\uc74c 4\uc2dc\uac04", "629": "empty", "630": "empty", "631": "2021.3.29.~5.18", "632": "\uc0c1\uc218\ub3c4\uc0ac\uc5c5\ube44\uc6a9 \uc601\uc5c5\ube44\uc6a9 \uc815\uc218\ube44 \uc218\uc120\uc720\uc9c0\uad50\uccb4\ube44", "633": "empty", "634": "empty", "635": "empty", "636": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80 \uc7a5\uad00\uc0c1 + \uc0c1\uae08 200\ub9cc\uc6d0", "637": "\ud55c\uad6d\uc6d0\uc790\ub825\uc5f0\uad6c\uc6d0", "638": "empty", "639": "1\uba85(\ud300) \uc0c1\uae08 200\ub9cc\uc6d0", "640": "empty", "641": "empty", "642": "15\ub9cc\uc6d0", "643": "6.12", "644": "5\ub144", "645": "\uc544\uc8fc\ub300", "646": "\ud55c\uad6d\uc815\ubcf4\ud1b5\uc2e0\uc9c4\ud765\ud611\ud68c", "647": "20\uba85", "648": "\ub514\uc9c0\ud138\uc744 \uc54c\uace0, \uce5c\uc219\ud574\uc9c0\uae30", "649": "2020 \uc138\uacc4\ub3d9\uad74\uc5d1\uc2a4\ud3ec \ub3d9\uad74\uc2e0\ube44\uad00", "650": "24.1%", "651": "\ud658\uacbd\ubd80\uc7a5\uad00\uc774 \uc18c\uc1a1\uc9c0\uc6d0\uc774 \ud544\uc694\ud558\ub2e4\uace0 \uc778\uc815\ud558\ub294 \uc0ac\ub78c", "652": "STEM \uc77c\uc790\ub9ac \ubc1c\uad74\u2024\uc5f0\uacc4 STEM\uacbd\ub825 \ub2e8\uacc4\u2027\uc9c1\ubb34\ubcc4 \uba58\ud1a0\ub9c1", "653": "100\ub9cc\uc6d0 \uc774\ub0b4", "654": "\ubcf5\uc9c0\ubd80", "655": "5.7\uc5b5\ubd88", "656": "4\uac1c", "657": "empty", "658": "\uc2e0\uaddc(4\uac1c) DNA \ub4f1 \uae30\ubc18\uae30\uc220", "659": "\ubdf0\ub178 \uc778\uacf5\uc9c0\ub2a5 \uae30\ubc18 \uc758\ub8cc\uc9c4\ub2e8 \uc18c\ud504\ud2b8\uc6e8\uc5b4 \uac1c\ubc1c \uc2e0\uaddc \ud22c\uc790 48\uc5b5\uc6d0 \uc720\uce58", "660": "30", "661": "\uae00\ub85c\ubc8c \uc2dc\uc120", "662": "empty", "663": "empty", "664": "\uacbd\ucc30\ub300\ud559", "665": "25\uac1c \uacfc\uc81c2,298\uc5b5\uc6d0", "666": "empty", "667": "15\ubd84 \uc774\uc0c1", "668": "4\ub144", "669": "empty", "670": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "671": "5\uc5b5 \uc6d0 \uc774\ub0b4", "672": "\ube44\ubc14\ub9ac\ud37c\ube14\ub9ac\uce74", "673": "36\uc5b5 \uc6d0", "674": "\ud0dc\ube14\ub9bf 1 \uc57d 90\ub9cc\uc6d0", "675": "empty", "676": "empty", "677": "empty", "678": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uad00\ub9ac\uc6d0", "679": "6.4-5\uc77c", "680": "\uc2a4\ub9c8\ud2b8\ud31c", "681": "empty", "682": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ub300", "683": "empty", "684": "empty", "685": "6\uc885", "686": "empty", "687": "\uc2dc\uac01\ud654 \uc2ec\ub1cc\ud608\uad00\uc9c8\ud658", "688": "empty", "689": "\uac15\uad6c\ubbfc \ud37c\ub2c8\ucf58 \uc601\uc0c1 \ub098 \ud640\ub85c \uadf8\ub300 \uc774\uc0c1\uc5fd \uc2a4\ud29c\ub514\uc624\ub4dc\ub798\uace4", "690": "empty", "691": "\ub514\uc9c0\ud138 \uae30\ucd08", "692": "\u318d\uc720\uc804\uc790\uce58\ub8cc \ubc0f \uce58\ub8cc\uc81c\uc758 \uacbd\uc81c\u00b7\uc0ac\ud68c\uc801 \uac00\uce58", "693": "\ud50c\ub9ac\ud1a0 \uacb0\ud63c \uc774\ubbfc\uc5ec\uc131", "694": "empty", "695": "empty", "696": "empty", "697": "empty", "698": "empty", "699": "\ubbf8\uad6d LandSat 8\ud638", "700": "SOC \ub514\uc9c0\ud138\ud654", "701": "empty", "702": "empty", "703": "empty", "704": "121\uac1c\ud300(617\uba85) 12\uac1c\ud300", "705": "\ud55c\uad6d\ud654\ud559\uc5f0\uad6c\uc6d0 \ud654\ud559\uc18c\uc7ac\ud3c9\uac00 \ubc0f \uc2e4\uc99d\ud654\uc5f0\uad6c\uc2dc\uc124", "706": "60 Hz 0.2 30 cm 250 W", "707": "empty", "708": "\uc6b8\uc0b0\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "709": "empty", "710": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "711": "empty", "712": "1\uba85", "713": "empty", "714": "1,270\uac74 \ub0b4\uc678", "715": "\uc18c\uaddc\ubaa8 \uc5b4\uc5c5\uc6a9, \ub09a\uc2dc\uc6a9, \uc5ec\uac1d \ubc0f \ud654\ubb3c \uc6b4\uc1a1\uc6a9 \ub4f1 \ud56d\uacf5\uae30\uad6d", "716": "KAIST", "717": "\uc21c\ucc9c\ub300", "718": "empty", "719": "empty", "720": "empty", "721": "empty", "722": "\uc790\uc728\uc791\uc5c5 \ud2b8\ub799\ud130", "723": "empty", "724": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80 \uc7a5\uad00\uc0c1", "725": "\ucc9c\uc5f0 \ud56d\ubc14\uc774\ub7ec\uc2a4 \uc57d\ud488\uc744 \ubd84\uc0ac\ud574 \ubc14\uc774\ub7ec\uc2a4 \uc608\ubc29 \ubc0f \uc81c\uac70", "726": "empty", "727": "empty", "728": "empty", "729": "empty", "730": "empty", "731": "empty", "732": "\uad11\uc8fc\uc815\ubcf4\ubb38\ud654\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "733": "\uad50\uc721\uc6a9 \ub85c\ubd07", "734": "empty", "735": "\ud310\ub9e4\uc790 \uc815\ubcf4 \ud655\uc778", "736": "575\uc5b5 \uc6d0", "737": "\ud55c\uad6d\uc804\ub825\uacf5\uc0ac", "738": "\ud55c\uad6d\ucc9c\ubb38 \uc5f0\uad6c\uc6d0", "739": "empty", "740": "2\uba85 \uc774\uc0c1 \uae30\uc5c5\ubd80\uc124\uc5f0\uad6c\uc18c \uffed \uc18c\uae30\uc5c5 3\uba85 \uc774\uc0c1", "741": "\uc7a5\ube44\ud65c\uc6a9\uad50\uc2e4", "742": "649\uba85", "743": "40", "744": "empty", "745": "\ub18d\uc2dd\ud488\ubd80", "746": "empty", "747": "5\uc5b5 \uc6d0 \uc774\ub0b4", "748": "10\uc5b5 \uc6d0", "749": "\uc5d8\uc9c0 \uc528\uc5d4\uc5d0\uc2a4", "750": "\uc0b0\uc5c5\ub85c\ubd07", "751": "\ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8", "752": "1", "753": "empty", "754": "15\uc2dc\uac04", "755": "\uc2e0\ud55c\uc5d0\uc774\ud14d", "756": "empty", "757": "\ucd5c\uc885 \ud504\ub85c\uc81d\ud2b8", "758": "\uce5c\ud658\uacbd \ucee4\ud53c\ub098\ubb34", "759": "\ub9e4\ucd9c\ucc44\uad8c \ud560\uc778\ud50c\ub7ab\ud3fc \uc789\uce74\uc5d4\ud2b8\uc6cd\uc2a4", "760": "\uc218\uc18c\uc804\uae30\ucc28 \uc808\uac1c\ubb3c", "761": "4.0 \ub2e8\uce35 \uc2a4\ub9c8\ud2b8 \uc708\ub3c4\uc6b0 \uc544\uc8fc\ub300", "762": "9\ub144", "763": "empty", "764": "\ud55c\uad6d\uc0dd\uba85\uacf5\ud559\uc5f0\uad6c\uc6d0", "765": "26.6%", "766": "\uc5d0\ub108\uc9c0", "767": "\uc724\uc790\uc601", "768": "empty", "769": "\uc6b8\uc0b0\uacfc\ud559\uae30\uc220\uc6d0", "770": "10\ub9cc\uc720\ub85c \uc774\ud558\uc778 \uacbd\uc6b0 \uba74\uc81c \ub9e4\ucd9c 50\ub9cc\uc720\ub85c \uc774\ud558\uc778 \uacbd\uc6b0 \uba74\uc81c", "771": "3\uc77c\ucc28", "772": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "773": "\uacfc\ud559\uad00\uc758 \u2018\uc138\uacc4\uad00\u2019 \uacfc \uc804\uc2dc\ud488\uc5d0 \ub300\ud55c \u2018\uc2a4\ud1a0\ub9ac\ud154\ub9c1\u2019\uc744 \uad6c\uc131", "774": "empty", "775": "empty", "776": "\ubcf4\uc774\ub294 \ube5b", "777": "\ud55c\uad6d\uac10\uc815\ud3c9\uac00\uc0ac\ud611\ud68c", "778": "\ub371\uc2a4\ud130\uc2a4\ud29c\ub514\uc624", "779": "empty", "780": "empty", "781": "109\uac74", "782": "\uac1c\uc778 \uacf5\ub85c\uc0c1", "783": "\uc778\ucc9c\uc2a4\ub9c8\ud2b8\uc2dc\ud2f0", "784": "6", "785": "empty", "786": "106\uac74", "787": "empty", "788": "\ud638\ud154 \ub274\ube0c", "789": "empty", "790": "100 \ub9cc\uc6d0 50 \ub9cc\uc6d0", "791": "empty", "792": "\uc5f0\uc138\ub300\ud559\uad50", "793": "1\uba85(\ud300) \uc0c1\uae08 200\ub9cc\uc6d0", "794": "4.4\uc5b5\uc6d0", "795": "\ud1a1\uc2a4", "796": "\ub300\uad6c\uac00\ud1a8\ub9ad\ub300\ud559\uad50 GLP\uc13c\ud130", "797": "40\ud300\uc774\ub0b4", "798": "empty", "799": "empty", "800": "empty", "801": "\ud589\uc548\ubd80", "802": "\uacfc\uae30\uc815\ud1b5\ubd80, \ubd80\uc0b0\uc2dc, \uae30\uc7a5\uad70, \uc11c\uc6b8\ub300\ubcd1\uc6d0", "803": "\ub514\uc9c0\ud138 \ud5ec\uc2a4\ucf00\uc5b4", "804": "\ud55c\uad6d\ubd88\uad50\ud658\uacbd\uad50\uc721\uc6d0", "805": "6/19", "806": "1\uac74", "807": "empty", "808": "empty", "809": "5\uc5b5\uc6d0 17\uc5b5\uc6d0 24\uac1c\uc6d4", "810": "\uacfc\uae30\uc815\ud1b5\ubd80", "811": "empty", "812": "empty", "813": "empty", "814": "10\uac1c\uc0ac", "815": "\uc5f0\uad6c", "816": "\u25aa\ud658\uacbd\ubd80", "817": "30\uba85", "818": "empty", "819": "Multi-Modal", "820": "\uc790\ubb38\ube44", "821": "ICT \ud45c\uc900\ud654 \uac1c\uc694 \ubc0f \ub3d9\ud5a5 \uc5d0\ub108\uc9c0 IoT \uad6d\uc81c \ud45c\uc900 \uc18c\uac1c", "822": "empty", "823": "7\uc5b5\uc6d0", "824": "\uc22d\uc2e4\ub300", "825": "empty", "826": "\uacfc\uae30\uc815\ud1b5\ubd80\uc7a5\uad00\uc0c1\ubd80\uc0c1", "827": "empty", "828": "empty", "829": "50\uc5b5\uc6d0", "830": "\uc5f4\ub824\ub77c \uace4\ucda9\uc138\uc0c1", "831": "2005\ub300\ud55c\ubbfc\uad6d \ubd80\uc0b0", "832": "empty", "833": "empty", "834": "empty", "835": "\uc0b0\uc5c5\ubd80", "836": "12.5\uc5b5\uc6d0", "837": "empty", "838": "\ud55c\uad6d\ubc29\uc1a1\uacf5\uc0ac", "839": "empty", "840": "\uc911\uc18c\uae30\uc5c5\uae30\uc220\ubd84\uc7c1\uc870\uc815\u00b7\uc911\uc7ac\uc81c\ub3c4", "841": "empty", "842": "empty", "843": "empty", "844": "1\ub144", "845": "\uc5f4\ubd84\uc11d\uc2e4", "846": "\u321c\uc3d8\uce74", "847": "\uc911\uc18c\ubca4\ucc98\uae30\uc5c5\ubd80", "848": "empty", "849": "KBS, \uc804\uc8fcMBC", "850": "empty", "851": "empty", "852": "empty", "853": "\uc815\ubcf4\ubcf4\ud638\uc2dc\uc2a4\ud15c\uad6c\uc785\ube44 \ubc0f \uc784\ucc28\ub8cc", "854": "empty", "855": "\uba54\ud0c0\ud328\uc2a4", "856": "\uacf5\uc2dc\uc5c5\ubb34 \uad00\ub9ac\uc2dc\uc2a4\ud15c \uad6c\ucd95", "857": "\uacc4\uc57d\uc9c1 \uae30\uac04\uc81c \uadfc\ub85c\uc790", "858": "empty", "859": "\uc804\ud1b5\ubb38\ud654\uc0b0\uc5c5 R&D Platform \uad6c\ucd95 *** KIST", "860": "\ub3c4\uae30\ucf54\uae30 \ub8e8\ud551 \uc560\ub2c8\uba54\uc774\uc158", "861": "\ub124\ud2b8\uc6cc\ud06c \uc6b4\uc601 \ubc0f \ub124\ud2b8\uc6cc\ud06c \uc7a5\uc560 \uad00\ub9ac", "862": "empty", "863": "\uc5b8\ud50c\ub7ec\uadf8\ub4dc \ucef4\ud4e8\ud305\ub180\uc774", "864": "empty", "865": "\uc784\uc2dc\ud5c8\uac00", "866": "\ud1b5\ud569\uc9c0\ud718\ubb34\uc120\ud1b5\uc2e0\ub9dd \uc885\ub8cc", "867": "empty", "868": "empty", "869": "empty", "870": "\ub178\ud2b8\ubd81 \ub3c4\ub09c\ubc29\uc9c0\uc6a9 USB smart device", "871": "\u2219\uc804\ubb38 \uc9c1\uc5c5\uc0c1\ub2f4\uc0ac\uac00 \uad6c\uc9c1\uc790\uc640 \ud589\uc0ac\ucc38\uac00\uae30\uc5c5", "872": "\uc815\ubcf4\uc2dc\uc2a4\ud15c\uac10\ub9ac\uc0ac", "873": "empty", "874": "\uc778\ub3c4\ub124\uc2dc\uc544", "875": "empty", "876": "empty", "877": "\ud55c\uad6d\uae30\ucd08\uacfc\ud559\uc9c0\uc6d0\uc5f0\uad6c\uc6d0", "878": "10:10\u223c10:40", "879": "300\ub9cc\uc6d0", "880": "empty", "881": "empty", "882": "empty", "883": "empty", "884": "\ud568\uc548\ubb38\ud654\uc608\uc220\ud68c\uad00", "885": "empty", "886": "\ubc95\uc778\uc138", "887": "1", "888": "empty", "889": "\ud654\uc131\ud0d0\uc0ac\ub85c\ubd07", "890": "\uce74\uc774\uc2a4\ud2b8", "891": "\uae30\uc874\ud2b9\uad6c", "892": "empty", "893": "\uc5f0\uc138\ub300\ud559\uad50", "894": "empty", "895": "\uac15\uc18c\ud2b9\uad6c \ubc30\ud6c4\uacf5\uac04\uc758 \ub300\uc0c1 \uc9c0\uc5ed", "896": "\uc77c\ubc18\uad6d\ubbfc \ucd5c\uc6b0\uc218\uc0c1 2 \uac01 200\ub9cc\uc6d0", "897": "\ubd80\uc0b0\uc6b8\uc0b0\uac15\ub989", "898": "\uacf5\uacf5SW\uc0ac\uc5c5", "899": "\uac1d\uccb4 \uc778\uc2dd", "900": "8\uac1c", "901": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "902": "empty", "903": "empty", "904": "empty", "905": "empty", "906": "\ud3ec\ud56d\uacf5\ub300", "907": "\uacfc\uae30\uc815\ud1b5\ubd80", "908": "\uc18c\ud615 \ub4dc\ub860", "909": "\uc778\ub3c4\ub124\uc2dc\uc544, \uce84\ubcf4\ub514\uc544", "910": "\uc0ac\uc6a9\uc790 \uc811\uadfc\ud1b5\uc81c \ubc0f \uc778\uc99d", "911": "\uc9c1\uc6d010\uba85", "912": "\ud3ec\uc2a4\ud130", "913": "\ucd08\u00b7\uc911\u00b7\uace0 \ud3ec\uc2a4\ud130 (\ub300\uc0c1) \uacfc\uae30\uc815\ud1b5\ubd80 \uc7a5\uad00\uc0c1 1\uc810", "914": "81% 81% 92%", "915": "282.90", "916": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80", "917": "\ub18d\ud611\uc740\ud589", "918": "empty", "919": "empty", "920": "empty", "921": "17.6\uc5b5 \uc6d0", "922": "\ud39c\ud0c0\uc2dc\uc2a4\ud15c", "923": "20\uac1c\ud300", "924": "empty", "925": "\uc778\uac04\ucc98\ub7fc \ub611\ub611\ud55c \uc4f0\ub808\uae30\ud1b5", "926": "empty", "927": "\uacbd\uc8fc\uad6d\ub9bd\uacf5\uc6d0 \uc77c\ub300", "928": "empty", "929": "\uc2a4\ub9c8\ud2b8\uc6d0\ubc18", "930": "empty", "931": "5\ucc44\ub110", "932": "empty", "933": "\ubcf4\uc548\uad00\uc81c", "934": "empty", "935": "11.8\uc5b5\uc6d0 \ucd5c\ub3004\uc5b5\uc6d0\uc774\ub0b4", "936": "\ud658\uacbd\ubd80", "937": "2007\u223c \uace0\uad50\uc0dd \ub124\ub35c\ub780\ub4dc", "938": "21.1%", "939": "2000", "940": "\ud611\uc5c5\uc81c\ud488\uac1c\ubc1c\uc9c0\uc6d0", "941": "\ucc0c\ub9bf\ucc0c\ub9bf \uc804\uae30\uc774\uc57c\uae30, \uacfc\ud559\ub3c4\uc57d \ub4f1 3\uac1c", "942": "empty", "943": "3750 5\ub144", "944": "empty", "945": "C1\uac00\uc2a4\ub9ac\ud30c\uc774\ub108\ub9ac", "946": "empty", "947": "empty", "948": "empty", "949": "empty", "950": "\uc131\uade0\uad00\ub300 *** \uc778\ub3c4", "951": "8\uac1c \ubc18 772\uba85", "952": "6\ucc9c\uba85", "953": "\uc678\uad50\uc801 \uc601\ud5a5", "954": "empty", "955": "empty", "956": "\ubca4\ucc98\uc2a4\ud018\uc5b4", "957": "180\uac1c \uc5c5\uccb4, 7\ucc9c\uc5ec\uba85 \ucc38\uac00", "958": "\ube45\ub370\uc774\ud130", "959": "empty", "960": "13\uba85", "961": "4\uac15 \ud1a0\ub108\uba3c\ud2b8 4\uac15 \ud1a0\ub108\uba3c\ud2b8 \uc0c1\uae08 \ucd1d 1000\ub9cc\uc6d0", "962": "empty", "963": "empty", "964": "26,000 \uae30\ubcf8 \uac10\uba74 \ubc0f \ucd94\uac00 \ud1b5\ud654\ub8cc 50% \uac10\uba74", "965": "\uc990\uae30\ub294 VR", "966": "\ud55c\uad6d\uc804\uc790\ud30c\ud559\ud68c", "967": "11", "968": "\uc778\ub3c4\ub124\uc2dc\uc544", "969": "ETRI", "970": "empty", "971": "C\uc5b8\uc5b4\ub85c \ubc30\uc6b0\ub294 \ud504\ub85c\uadf8\ub798\ubc0d\uad50\uc2e4", "972": "\uc601\uc5b4", "973": "\uce90\ub9ac\uc18c\ud504\ud2b8", "974": "empty", "975": "8\uba85", "976": "empty", "977": "empty", "978": "1,500\ub9cc\uc6d0 1\uac1c", "979": "\uc2dc\uac01\uc7a5\uc560\uc778\uc6a9 \ucf58\ud150\uce20 \ud638\ud658\uc131 \uae30\uc220", "980": "empty", "981": "empty", "982": "\uc704\uce58\uae30\ubc18 \uc778\uc99d\uc11c\ube44\uc2a4 6", "983": "empty", "984": "\ub124\ud2b8\uc6cc\ud06c \ubc0f \uc5ed\ub7c9 \uac15\ud654", "985": "6\uc7a5 \ucd1d 150\ucabd 2\ud3b8", "986": "K-ICT 3D\ud504\ub9b0\ud305 \uc9c0\uc5ed\uc13c\ud130", "987": "\uc2ec\uc6b0\uc8fc\uc9c0\uc0c1\uad6d", "988": "empty", "989": "10\uba85 \ub300\uc878(\uc608\uc815)(\ud559\uc0ac \uc5f0\uacc4) \ucda9\ubd81\ub300", "990": "empty", "991": "\uc804\ud1b5\uacfc\ud559\uad00", "992": "empty", "993": "2\uc5b5", "994": "\uc911\uad6d \ubd81\uacbd\uc2dc", "995": "empty", "996": "SW\ud488\uc9c8", "997": "\uc5b4\ub2c8\uc2a4\ud2b8\ubca4\ucc98\uc2a4", "998": "\ub9f5\ud14c\ud06c", "999": "\ud55c\uad6d\uc7a5\ud559\uc7ac\ub2e8", "1000": "10\ub144 \uc774\uc0c1 \uc7ac\uc9c1\ud55c \uacbd\ub825\uc774 \uc788\ub294 \uc790", "1001": "3.30", "1002": "KAIST", "1003": "\ubc29\uc0ac\uc120\uad00\ub9ac", "1004": "\ud300 \ud504\ub85c\uc81d\ud2b8", "1005": "\uacbd\ubd81\ub300", "1006": "4\uac1c \uacfc\uc81c \ub9e4\uce6d\ud380\ub4dc(7:3) \uacfc\uc81c\ub2f9 1.5\uc5b5\uc6d0", "1007": "empty", "1008": "1,000\ub9cc\uc6d0", "1009": "empty", "1010": "\uc77c\ubc18\uad00\uad11\ubca4\ucc98\ubd80\ubb38 \uc608\ube44\uad00\uad11\ubca4\ucc98\uc0ac\uc5c5", "1011": "empty", "1012": "150kg \ub0b4\uc678", "1013": "\ud611\uc5c5\uac1c\ubc1c \uc9c0\uc6d0", "1014": "200\ub300 \uc911\uc810 \uc6b0\uc8fc\uae30\uc220\uac1c\ubc1c \ub85c\ub4dc\ub9f5(\uc548) \uc218\ub9bd 2002\ub144", "1015": "\ucf54\uc544\uc18c\ud504\ud2b8", "1016": "empty", "1017": "\uc784\uc0c1\uc758\uacfc\ud559\uc5f0\uad6c\uc5ed\ub7c9\uac15\ud654", "1018": "empty", "1019": "\ud734\ub9ac\uc2a4\ud2f1\uc2a4 \uc804\uc0b0\uae30\ubc18 \uae30\ub2a5\uc131 \ubb34\uae30\uc18c\uc7ac \ucc3d\uc81c \uc5f0\uad6c", "1020": "4\uc5b5\uc6d0", "1021": "empty", "1022": "29, 39, 49\ubc88 19, 22, 34, 50\ubc88", "1023": "empty", "1024": "1\uc5b52\ucc9c\ub9cc\uc6d0", "1025": "empty", "1026": "empty", "1027": "23\uac1c", "1028": "empty", "1029": "\uacfc\ud559\uad00 \uad6d\ub9bd\uc911\uc559\uacfc\ud559\uad00", "1030": "empty", "1031": "empty", "1032": "\uc11c\uc6b8", "1033": "200\uc5ec\uba85", "1034": "3\uc8fc", "1035": "empty", "1036": "\ub9c8\uc778\uc988\ub7a9", "1037": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "1038": "AMC\uc5d0\ub108\uc9c0", "1039": "empty", "1040": "\uc804\uc790\ubb38\uc11c \uc790\uac00\ub300\uc751 \uc720\ucd9c\ubc29\uc9c0 \uae30\uc220", "1041": "Preksh Innovations", "1042": "\uac8c\uc784 \uc5b4\ud50c\ub9ac\ucf00\uc774\uc158 \uc11c\ubc84(Game Application Server) - \ub370\uc774\ud130\ubca0\uc774\uc2a4 \uc11c\ubc84", "1043": "260\uba85", "1044": "\uc7a5\uc560\uc778 \uc8fc\ucc28\uad00\ub9ac\uc11c\ube44\uc2a4", "1045": "\uc740\ud3c9\uad6c\uccad", "1046": "\ud55c\uad6d\uc6d0\uc790\ub825\uc758\ud559\uc6d0", "1047": "empty", "1048": "empty", "1049": "empty", "1050": "500\ubc31\ub9cc\uc6d0", "1051": "\uce90\uce58\uc787\ud50c\ub808\uc774", "1052": "empty", "1053": "\ub9c8\ub2e4\uac00\uc2a4\uce74\ub974,\uc544\ud504\uac00\ub2c8\uc2a4\ud0c4,\ud30c\ud0a4\uc2a4\ud0c4", "1054": "empty", "1055": "empty", "1056": "empty", "1057": "empty", "1058": "\ucd08\ub4f1\ud559\uad50 5\ud559\ub144 ~ \uc911\ud559\uc0dd \uace0\ub4f1\ud559\uc0dd \ub300\ud559\uc0dd \uaddc\ubaa8 \ucd5c\ub300 30\ud300", "1059": "empty", "1060": "\ub514\uac8c\uc774\ud2b8", "1061": "\ub300\ud55c\ubbfc\uad6d", "1062": "1970\ub144\ub300", "1063": "ISMS", "1064": "\ub274\ubbf8\ub514\uc5b4 \uc218\ud654\ubc29\uc1a1\uad6d", "1065": "\ud648\u00b7\uac00\uc804 \ubcf4\uc548", "1066": "empty", "1067": "empty", "1068": "\uc790\ud2b8\ucf54\ucf54\ub9ac\uc544", "1069": "\uad6d\ubbfc\uc0dd\ud65c \ubcf4\ud638\u2024\ub300\uc751 \u2219\ucc29\uc6a9\ud615\u2024\ucc3d\ud638\ud615 \uc815\ud654\uc7a5\uce58 \ub4f1 \ubbf8\uc138\uba3c\uc9c0 \ub178\ucd9c \uc608\ubc29\uae30\uc220", "1070": "empty", "1071": "empty", "1072": "5\uc810", "1073": "empty", "1074": "\uc288\ud305\uce74\uba54\ub77c", "1075": "empty", "1076": "5 \u321c\uc528\uc564\ub137", "1077": "2007 \uae30\ud6c4\ubcc0\ud654 \ub300\uc751\uae30\uc220", "1078": "\u321c\uc9c0\ub780\uc9c0\uad50\uc2dc\ud050\ub9ac\ud2f0", "1079": "empty", "1080": "\ubd80\uc0b0, \uad11\uc8fc, \uacbd\uae30", "1081": "empty", "1082": "76\uc5b5\uc6d0", "1083": "\ud734\uba3c\uacfc\uc5d0\uc77c\ub9ac\uc5b8 \uc778\uac04\uacfc \uc678\uacc4\uc778\uc758 \uacf5\uc874\uacfc \ubc94\uc6b0\uc8fc\uc801 \ud734\uba38\ub2c8\uc998 \uc5f0\ucd9c", "1084": "1 \ubbf8\ub798\uc5d0\uc14b\ubca4\ucc98\ud22c\uc790 1\uba85", "1085": "\uc5d0\ub108\uc9c0\u00b7\ud658\uacbd \uc9c0\ub2a5\ud615 \uc5d0\ub108\uc9c0 \uad00\ub9ac \uae30\uc220\uac1c\ubc1c", "1086": "\uc6b0\uc8fc\uc815\ucc45 \ubbf8\ub798\ube44\uc804\uadf8\ub8f9 \uad6c\uc131", "1087": "empty", "1088": "12", "1089": "empty", "1090": "empty", "1091": "6\uc5b5\uc6d0 \uc774\ub0b4", "1092": "\ud55c\uad6d\ubc14\uc774\uc624\ud611\ud68c", "1093": "empty", "1094": "empty", "1095": "empty", "1096": "empty", "1097": "empty", "1098": "empty", "1099": "60", "1100": "\uc7a0\uae08\uc7a5\uce58 \uc124\uce58", "1101": "\uad6d\ub0b4 \ud1b5\uc2e0\uc0ac 1\ub9cc\ub300 \uacf5\uae09\uacc4\uc57d", "1102": "empty", "1103": "\uc751\uc6a9\ud504\ub85c\uadf8\ub7a8 \uacfc\uc815", "1104": "ICT \uae30\ucd08 \uc5f0\uad6c", "1105": "empty", "1106": "empty", "1107": "\ud55c\uad6d\uc5b4-7\uac1c \uc5b8\uc5b4 \uac04 \uc790\ub3d9\ud1b5\ubc88\uc5ed \uae30\uc220 \uac1c\ubc1c \ubc0f \uace0\ub3c4\ud654", "1108": "\ubc14\uc774\ub7ec\uc2a4\uc758 \uc815\uccb4 - \ubc14\uc774\ub7ec\uc2a4, \ubc15\ud14c\ub9ac\uc544\uc758 \ud2b9\uc9d5", "1109": "50%", "1110": "\ubb3c\ub9ac\ud559", "1111": "\ud3c9\ud0dd 1\u00b72\u00b73\u00b74 \uc911\uc720 28\ub9cckW", "1112": "\ud0c4\uc18c\uc0b0\uc5c5 \u2461 \ub18d\uc0dd\uba85", "1113": "605\uc5b5 2,800\ub9cc \ub2ec\ub7ec\ub85c \uc138\uacc46\uc704", "1114": "empty", "1115": "empty", "1116": "\ud55c\uad6d\uc9c0\uc5ed\uc815\ubcf4\uac1c\ubc1c\uc6d0", "1117": "LG CNS \uc778\ucc9c \ub370\uc774\ud130\uc13c\ud130", "1118": "\ud55c\uad6d\uce21 \uc774\ud0dc\ub9ac\uce21 1", "1119": "\uc815\ubcf4\ubcf4\uc548 \uc6b0\uc815\ud1b5\uc2e0\ubd80", "1120": "10:00~12:00", "1121": "\uc800\uc791\uad8c", "1122": "empty", "1123": "empty", "1124": "\uc544\uc8fc\ub300\ud559\uad50", "1125": "20", "1126": "empty", "1127": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80", "1128": "empty", "1129": "empty", "1130": "empty", "1131": "\uc99d\uac15\ud604\uc2e4\uc18c\ud504\ud2b8\uc6e8\uc5b4", "1132": "\u321c\uc544\uc0ac\ub2ec21", "1133": "empty", "1134": "\uacf5\uc720\uc874", "1135": "empty", "1136": "empty", "1137": "empty", "1138": "empty", "1139": "\ub450\uc6d0\uc911\uacf5\uc5c5", "1140": "empty", "1141": "\ube0c\ub77c\uc9c8 \ud3ec\uc218\uc2a4 \uc9c0\uce74\uc6b0\ub2e4\uc2a4 25\uac1c\uad6d 97\uba85", "1142": "empty", "1143": "\uc778\uac04 \ub1cc\uc2e0\uacbd \ubaa8\ubc29 \ubc18\ub3c4\uccb4 \uc18c\uc790", "1144": "empty", "1145": "\uc2dc\uc81c\ud488 \uc81c\uc791", "1146": "empty", "1147": "empty", "1148": "empty", "1149": "empty", "1150": "2012\ub144", "1151": "empty", "1152": "empty", "1153": "75\uac1c\uad6d290\uba85", "1154": "empty", "1155": "\uad6d\uac00\uacfc\ud559\uae30\uc220\uc5f0\uad6c\ud68c", "1156": "empty", "1157": "empty", "1158": "empty", "1159": "empty", "1160": "\uc81c\uc870", "1161": "empty", "1162": "empty", "1163": "\ub3d9\uad6d\ub300", "1164": "empty", "1165": "empty", "1166": "\uacf5\uc8fc\ub300\ud559\uad50", "1167": "35\uc5b5\uc6d0", "1168": "empty", "1169": "\ub300\uc0b0\uc804\uc790\u321c", "1170": "empty", "1171": "\ud55c\uad6d\ud3f4\ub9ac\ud14d\ub300\ud559", "1172": "empty", "1173": "\uc2dc\uc2a4\ud15c\uc744 \ud1b5\ud574 \uac70\ub798\uc815\ubcf4\ub9cc \uc81c\uacf5", "1174": "\uc138\uacc4\uacfc\ud559\uc815\uc0c1\ud3ec\ub7fc \ubc0f OECD \uacfc\uae30\uc7a5\uad00\ud68c\uc758 \uac1c\ucd5c 2015.10\uc6d4", "1175": "\uc720\ud1b5\uc0ac \ub9e4\ucd9c\ub370\uc774\ud130", "1176": "6\uac1c\uae30\uad00 \u25aa\ucd1d 17\uac1c\uae30\uad00", "1177": "2\uc5b5\uc6d0", "1178": "\ud55c\uad6dSW\uc0b0\uc5c5\ud611\ud68c \ud68c\uc7a5 *** nan SW\ud611\ud68c", "1179": "empty", "1180": "\uacfc\ud559\ub85c\ucf13\uc13c\ud130\uac74\ub9bd", "1181": "empty", "1182": "empty", "1183": "\uc22d\uc2e4\ub300\ud559\uad50 \ud55c\uacbd\uc9c1\uae30\ub150\uad00", "1184": "empty", "1185": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0 \ubc1c\uad74", "1186": "SK\ud154\ub808\ucf64", "1187": "empty", "1188": "10~100 m 1000 m \uc774\ub0b4", "1189": "\ud1b5\uc2e0\uc5c5\uc885 \uc628\uc2e4\uac00\uc2a4 \uac10\ucd95\ub960 \ubd80\ub2f4 \uc644\ud654", "1190": "\uc2e0\ub0a8", "1191": "\u321c\uc5d0\uc774\uc2a4\ud14c\ud06c\ub180\ub85c\uc9c0", "1192": "40%", "1193": "\uad6d\ub9bd\uc911\uc559\uacfc\ud559\uad00", "1194": "\ube44\ud589", "1195": "\uc601\ub0a8\ub300\ud559\uad50", "1196": "13\uc6d4", "1197": "\uc7a5\ub824\uc0c1", "1198": "\uc5f0\ub3c4\ubcc4 \uc0ac\uc5c5\ube44\uc758 75% \uc774\ub0b4", "1199": "empty", "1200": "5.0", "1201": "\ucc3d\uc758\uc801 \uc124\uacc4 \ub85c\ubd07\ud0a4\ud2b8 11.27 \uace0\ub4f1\ud559\uc0dd 40\uba85", "1202": "empty", "1203": "\ubbf8\uc8fc\uc9c0\uc5ed(9\uc11d) \uc11c\uc720\ub7fd\uc9c0\uc5ed", "1204": "empty", "1205": "\uc778\uac04\uc911\uc2ec", "1206": "empty", "1207": "\ud55c\uad6d\uacfc\ud559\uae30\uc220 \uc815\ubcf4\uc5f0\uad6c\uc6d0", "1208": "1\uc704", "1209": "\uc815\ubd80\u00b7\uacf5\uacf5\uae30\uad00\uc744 \uc81c\uc678\ud55c \ubaa8\ub4e0 \ubbfc\uac04\uae30\uc5c5", "1210": "empty", "1211": "484", "1212": "\ud55c\ud654\ud14c\ud06c\uc5e0", "1213": "empty", "1214": "IoT \uc911\uc2ec \uc591\ubc29\ud5a5 \uae30\uc5c5 \uc218\uc694 \ud611\ub825 \uc0c1\ub2f4", "1215": "\uc2a4\ud14c\uc774\ud2b8\uc6d4\uc154 \ucee8\ud2b8\ub9ac\ud074\ub7fd \uc548\uc131\ub3d9\ud3c9\uace8\ud504\uc7a5 \uc870\uc131\uc0ac\uc5c5", "1216": "empty", "1217": "10% \uc774\ud558", "1218": "SK\ud154\ub808\ucf64", "1219": "empty", "1220": "\uc790\ubc14\uc2a4\ud06c\ub9bd\ud2b8", "1221": "\uc778\ucc9c\uc0bc\uc0b0\ucd08\ub4f1\ud559\uad50", "1222": "\uc5f0\uad6c\uc2dc\uc124\uc7a5\ube44", "1223": "\uc11c\uc6b8\ub300\ud559\uad50", "1224": "100%", "1225": "empty", "1226": "\uc77c\ubc18\ud589\uc815", "1227": "\ud658\uacbd\ubd80", "1228": "33\uac1c \ud575\uc2ec \uc9c0\uc2dd\uc7ac\uc0b0\uc0ac\uc5c5", "1229": "\ubbf8\ub798\ubd80", "1230": "empty", "1231": "empty", "1232": "empty", "1233": "empty", "1234": "empty", "1235": "9.26", "1236": "empty", "1237": "\uad6d\ub9bd\uc911\uc559\uacfc\ud559\uad00", "1238": "6", "1239": "empty", "1240": "empty", "1241": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80", "1242": "empty", "1243": "\u321c\ucf54\ub80c\ud14d, \uc720\uc5d4\uc544\uc774", "1244": "empty", "1245": "empty", "1246": "\ube14\ub8e8\ud22c\uc2a44.0 \uae30\uc220\uc744 \uc801\uc6a9\ud55c \uc804\uc2dc\ud488 \ub3c4\ub09c\ubc29\uc9c0 \uad00\ub9ac \uc7a5\uce58", "1247": "empty", "1248": "48\uac1c \uae30\uc5c5", "1249": "2014.9\uc6d4", "1250": "empty", "1251": "1\uc5b5\uc6d0", "1252": "\uc9c0\uc2dd\uc7ac\uc0b0 \ucc3d\ucd9c\u00b7\ubcf4\ud638, \ub098\ub214\u00b7\ud655\uc0b0\uc744 \uc704\ud55c \ubbfc\u00b7\uad00 \ud611\ub825 \ud655\ub300", "1253": "\ub300\ud55c\uc0c1\uacf5\ud68c\uc758\uc18c", "1254": "\uc2a4\uc704\uc2a4 \ucde8\ub9ac\ud788", "1255": "empty", "1256": "empty", "1257": "empty", "1258": "empty", "1259": "empty", "1260": "\ub2f7\ub124\uc784\ucf54\ub9ac\uc544/\uc774\uc0ac", "1261": "empty", "1262": "empty", "1263": "8\uac1c\uc6d4 \ub9cc\uc5d0 \ub2e4\uc6b4\ub85c\ub4dc 65000 \uba85", "1264": "2\uff5e4\uac1c\uc6d4", "1265": "\ucd5c\uc885 \uc120\uc815", "1266": "empty", "1267": "15\uac74", "1268": "\uacf5\ub3d9 \ucc3d\uc5c5 \uc9c0\uc6d0\uc790\uaca9 o \uc7ac\ub3c4\uc804 \uae30\uc5c5\uc778", "1269": "empty", "1270": "\uc6b0\uc815\uc5c5\ubb34", "1271": "empty", "1272": "500", "1273": "empty", "1274": "51\uac1c \uae30\uad00", "1275": "empty", "1276": "\uc0ac\ubb3c\uc778\ud130\ub137", "1277": "\ub1cc\ud558\uc218\uccb4 \ud638\ub974\ubaac\uc758 \ubd84\ub9ac", "1278": "empty", "1279": "\uc0b0\ud558\uc704\uc6d0\ud68c", "1280": "\uc5f0\uad6c\uac1c\ubc1c\uc131\uacfc\uc9c0\uc6d0\uc13c\ud130", "1281": "empty", "1282": "empty", "1283": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80, \ud55c\uad6d\uc778\ud130\ub137\uc9c4\ud765\uc6d0", "1284": "empty", "1285": "\uc9c0\uc5ed \ud655\uc0b0", "1286": "empty", "1287": "\uc5d1\uc140\ub7ec\ub808\uc774\ud305 \uba58\ud1a0\ub9c1", "1288": "\uad00\uc545\uc0b0\u2024\ub0a8\uc0b0", "1289": "empty", "1290": "empty", "1291": "\uae30\uc5c5\uac00\uc815\uc2e0 \ubc0f \ucc3d\uc5c5\uc5ec\uac74 \uc870\uc131", "1292": "empty", "1293": "1.0 M&A \ubc95\uc81c\uc815\ube44", "1294": "empty", "1295": "13\uba85", "1296": "empty", "1297": "empty", "1298": "empty", "1299": "empty", "1300": "\uc790\uccb4\ud3c9\uac00\uc704\uc6d0\ud68c \uad6c\uc131\uc758 \uc801\uc808\uc131", "1301": "empty", "1302": "empty", "1303": "\ud2b9\ud5c8\uccad", "1304": "\ubbf8\ub798\ubd80", "1305": "\ucd08\u2027\uc911\u2027\uace0 \uc5f0\uacc4\ud615", "1306": "\ud55c\uad6d", "1307": "\uad70 \uc804\uc220\ud6c8\ub828 \uc2dc\ubbac\ub808\uc774\ud130", "1308": "\ubcf4\uac74\ud658\uacbd", "1309": "empty", "1310": "\uc644\uc804\uc785\uccb4 3D \uc601\uc0c1\uc744 \ub300\ud654\uba74\uc73c\ub85c \uc800\uc791\u2027\uc555\ucd95\uc804\uc1a1\u2027\ub514\uc2a4\ud50c\ub808\uc774\ud558\ub294 \uae30\uc220", "1311": "MIPS", "1312": "\uac00\uc18d\uae30 \ubc0f \ube54\ub098\ub178\uacf5\ud559 \ubc15\uc0ac 1\uba85", "1313": "empty", "1314": "empty", "1315": "\uc6b8\uc0b0\uacfc\ud559\uae30\uc220\ub300\ud559\uad50", "1316": "\uae08\uc735\uc704", "1317": "empty", "1318": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80 SW\uc0b0\uc5c5\uacfc", "1319": "\uac8c\uc784\uc911\ub3c5\uc744 \ubc97\uc5b4\ub098\uac8c \ud55c \ub9cc\ub0a8 \ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80\uc7a5\uad00\uc0c1 \uc0c1\uae08 200\ub9cc\uc6d0", "1320": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0 \ubfcc\ub9ac\uc0b0\uc5c5\uc9c4\ud765\uc13c\ud130", "1321": "\ubaa8\ubc14\uc77c \uc0ac\uc804 \ubc0f \uc5b4\ud718\ud559\uc2b5 \uc11c\ube44\uc2a4", "1322": "\ud55c\uad6d\uc2a4\ub9c8\ud2b8\uce74\ub4dc", "1323": "\ube44\uc528\uc6d4\ub4dc \ucee8\uc18c\uc2dc\uc5c4", "1324": "\uc5ec\uac00\ubd80", "1325": "\ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8", "1326": "\ud06c\ub85c\ud0a4", "1327": "empty", "1328": "\uad50\uc721 \uc138\uc0c1\uc5d0\uc11c \uac00\uc7a5 \ube60\ub978 \uc0dd\uac15\ube75\ub9e8 \ud578\ub4dc\uc2a4\ud29c\ub514\uc624", "1329": "115\uac1c", "1330": "empty", "1331": "3\uba85", "1332": "LINC\ud398\uc2a4\ud2f0\ubc8c", "1333": "empty", "1334": "KAIST", "1335": "empty", "1336": "empty", "1337": "empty", "1338": "\ubca4\ucc98 CEO\uc758 \ub0a0", "1339": "100\uac1c\uc0ac", "1340": "IR \ucee8\uc124\ud305 \uc9c0\uc6d0 IR \uc791\uc131\uacfc \uad00\ub828\ud55c \ucee8\uc124\ud305 \uc790\uae08 \uc720\uce58 \ucee8\uc124\ud305", "1341": "\ub300\ud55c\uc5ec\uc131\uacfc\ud559\uae30\uc220\uc778\ud68c", "1342": "\uc2a4\ud1a0\ub9ac, \uc74c\uc545, \uac8c\uc784 \ub4f1 \ubb38\ud654\ucf58\ud150\uce20 \ub514\uc9c0\ud138\u2024\ubc29\uc1a1\ud1b5\uc2e0\ucf58\ud150\uce20", "1343": "empty", "1344": "\uc9c0\uacf5\uac04\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1 \ud611\ub3d9\uc870\ud569", "1345": "\ud55c\uad6d\uad50\uc6d0\ub300\ud559\uad50 \ucef4\ud4e8\ud130\uad50\uc721\uacfc", "1346": "\uc138\uacc4\uae40\uce58 \uc5f0\uad6c\uc18c", "1347": "\uacfc\ud559 \ucc3d\uc758 Lab \ud398\uc2a4\ud2f0\ubc1c \uacfc\ud559\uad50\uc721\uacfc", "1348": "\ubcf5\uc9c0\ubd80", "1349": "30% \uac10\uba74", "1350": "\uc11c\uc6b8\uad11\uc9c4\ub3c4\uc11c\uad00", "1351": "empty", "1352": "empty", "1353": "\ud56d\uacf5\u00b7\uc6b0\uc8fc 77. \uc6b0\uc8fc\ubc1c\uc0ac\uccb4 \uac1c\ubc1c\uae30\uc220", "1354": "\uae30\uc220\uc815\ubcf4 \ud611\ub3d9\uc870\ud569", "1355": "1987\ub144 \uc124\ub9bd", "1356": "RAINBOW 2.0 \uc804\uc790\ub514\uc790\uc778\uace0", "1357": "\uad6d\ubc29\u2027\uae30\uacc4\uac74\uc124\u2027\uad50\ud1b5", "1358": "empty", "1359": "8\uc2dc\uac04", "1360": "\ubc29\uc0ac\uad11 \uac00\uc18d\uae30", "1361": "empty", "1362": "50\uff05\ub0b4\uc5d0\uc11c \uba54\ub2ec \uacb0\uc815", "1363": "empty", "1364": "\uccad\uc815 \uace0\ud6a8\uc728 \uc800\uac00 \ubbf8\ub798 \uc5d0\ub108\uc9c0 \uc2dc\uc2a4\ud15c \uad6c\ud604", "1365": "4\ud68c", "1366": "10\uba85", "1367": "\uac1c\ub3c4\uad6d \ub4f1 \ud574\uc678 \ud30c\uacac\uc790 \ucc3d\uc5c5", "1368": "\ucf54\uc5d1\uc2a4", "1369": "empty", "1370": "empty", "1371": "empty", "1372": "empty", "1373": "empty", "1374": "\ucc3d\uc758\uad50\uc721 \uac15\ud654", "1375": "\uc548\uc804\ud589\uc815\ubd80", "1376": "\uc0b0\uc0ac\ud0dc/\uae09\uacbd\uc0ac\uc9c0\ubd95\uad34", "1377": "600\uba85", "1378": "105\uac1c \ub0b4\uc678", "1379": "\uc790\uc5f0\uc0ac\u2024\uacfc\ud559\uae30\uc220\uc0ac\u2024\uae30\ucd08\uacfc\ud559\u2024\uc0b0\uc5c5\uae30\uc220 \uc804\uc2dc", "1380": "50\ub9cc\uc6d0 \uc0c1\uae08 50\ub9cc\uc6d0", "1381": "empty", "1382": "SBS\uc544\ud2b8\ud14d", "1383": "empty", "1384": "\uc564\ub4dc\uc640\uc774\uc988", "1385": "21\uc5b5 \uc720\ub85c", "1386": "\ub300\uc131\ucc3d\uc5c5\ud22c\uc790 \ub4f12\uac1c \uae30\uad00", "1387": "\uc2a4\ub9ac\ub791\uce74", "1388": "empty", "1389": "empty", "1390": "8\uc6d4", "1391": "empty", "1392": "\ucda9\ub0a8\ub300\ud559\uad50\ubcd1\uc6d0", "1393": "\uc7a0\uc7ac\uc801 \uc218\uc694 \uac00\ub2a5\uc131", "1394": "\uac2f\uc644\ub450", "1395": "\uc9c0\ub9ac\uc0b0\uc758 \uc61b \uc774\uc57c\uae30\uc640 \ub3d9\uc2dd\ubb3c, \uc7ac\ud65c\uc6a9 \ub4f1\uc744 \ud65c\uc6a9\ud55c \uacf5\uc608\uccb4\ud5d8", "1396": "empty", "1397": "\uac80\uc740\uba38\ub9ac\ubb3c\ub5bc\uc0c8", "1398": "empty", "1399": "\uacf5\uae30\uc758 \ud658\uae30 \ubc0f \ud669\uc0ac\uc5d0 \ub178\ucd9c\ub41c \ubb3c\ud488 \ub4f1\uc740 \uc138\ucc99 \ud6c4 \uc0ac\uc6a9", "1400": "\ub300\ud559\uc0dd \ub610\ub294 \ub300\ud559\uc6d0\uc0dd \uc804 \uad6d\ubbfc \ub204\uad6c\ub098", "1401": "1\ub144 \ub2e8\uc704", "1402": "4.24", "1403": "empty", "1404": "empty", "1405": "\uc601\uc0b0\uac15\uccad\uc804\ubd81\uccad \uc601\uc0b0\uac15 \uc775\uc0b0\uccad", "1406": "\ud3fc\uc54c\ub370\ud558\uc774\ub4dc : 80\u338d/\u33a5\uc774\ud558", "1407": "10", "1408": "3\ub144 \uc774\uc0c1", "1409": "\ub9cc\uc131\uae30\uad00\uc9c0\uc5fc(2\uc885), \ud3d0\uae30\uc885, \ucc9c\uc2dd", "1410": "empty", "1411": "\ucd5c\uadfc 2\ub144 \uc774\uc0c1 \ud68c\uacc4\uacb0\uc0b0 \uc7ac\ubb34\uc81c\ud45c \ubcf4\uc720\uae30\uc5c5", "1412": "\uc77c\ubc18\uc9c0\uc6d0\uc0ac\uc5c5\ube44 \ucd1d \uc0ac\uc5c5\ube44\uc758 80% \uc774\ub0b4", "1413": "50\ub9cc\uc6d0", "1414": "\ud3c9\ud0dd\uc2dc, \uc548\uc131\uc2dc", "1415": "1977\ub144 10\uc6d4 27\uc77c", "1416": "\uac15\uc6d0 \ucd98\ucc9c\uc2dc \ubd81\ud55c\uac15 1\uc6d4 12\uc77c", "1417": "\u220e \ubc30\ucd9c\uac00\uc2a4 5\ub4f1\uae09\ucc28\ub7c9 \uc6b4\ud589\uc81c\ud55c \uc2e4\uc2dc", "1418": "86\uba85", "1419": "empty", "1420": "300\uc885", "1421": "\ubcbc \uc218\ud655 \ud6c4 \uc77c\ubd80 \ubccf\uc9da \uc874\uce58 \ub610\ub294 10~15cm \uc798\ub77c \ub17c\uc5d0 \ubfcc\ub824\uc90c", "1422": "empty", "1423": "\ud29c\ub2dd\uac80\uc0ac", "1424": "empty", "1425": "empty", "1426": "empty", "1427": "\ud55c\uad6d\uac74\ucd95\ubb38\ud654\ub300\uc0c1", "1428": "\uc815\ubd80\uccad\uc0ac", "1429": "empty", "1430": "\uc911\uae30\ubd80", "1431": "\u321c\ub300\uc6c5\uc9c0\uc9c8", "1432": "50\ub9cc\uae30", "1433": "\uc18c\ub625\uad6c\ub9ac", "1434": "empty", "1435": "empty", "1436": "\uba54\uac00\ucf54\uc2a4", "1437": "\uc911\uba74 \uc0bc\uacf6\ub9ac \uc0b0 207 \ud3d0\uc0ac\uccb4 \uc8fc\ubbfc", "1438": "empty", "1439": "2020\ub144 11\uc6d4 12\uc77c", "1440": "\ubcf4\uc790\uae30", "1441": "\ubc1c\uc0dd\uc9c0 \ucc98\ub9ac \uc5ed\ub7c9", "1442": "20\uacf3 \ub0b4\uc678 5\uacf3 \ub0b4\uc678 \uc9c0\uc6d0 \uaddc\ubaa8 \ucd5c\ub300 60\uc5b5\uc6d0/2\ub144", "1443": "empty", "1444": "10\uac1c\uc18c", "1445": "\uad6d\uc81c\uc790\uc5f0\ubcf4\uc804\uc5f0\ub9f9(IUCN) \uc801\uc0c9\ubaa9\ub85d \uc815\ubcf4\ubd80\uc871\uc885", "1446": "6,319\uc5b5\uc6d0", "1447": "empty", "1448": "empty", "1449": "\uc218\uc790\uc6d0\uacf5\uc0ac", "1450": "empty", "1451": "\uc8fc1\ud68c", "1452": "empty", "1453": "\ud658\uacbd\ubd84\uc57c \uc720\ub9dd \uc911\uc18c\uae30\uc5c5", "1454": "empty", "1455": "empty", "1456": "\uc774\ub9c8\ud2b8", "1457": "empty", "1458": "\uc1e0\ub625\uad6c\ub9ac\uc57c, \ud798\ub0b4", "1459": "empty", "1460": "\uad70\uc704\uc0bc\uad6d\uc720\uc0ac\uad50\uc721\ubb38\ud654\ud68c\uad00", "1461": "\u25aa\uad6d\ud1a0\uc5f0\uad6c\uc6d0", "1462": "empty", "1463": "450\uc5b5\uc6d0", "1464": "\uc9c0\ub9ac\uc0b0", "1465": "10", "1466": "Pseudemys concinna", "1467": "\ucd5c\uc18c 1.8m \uc774\uc0c1", "1468": "894\uba85", "1469": "\uc138\ud0c1\uc81c\ud488", "1470": "\ub3c4\ub85c\uacf5\uc0ac \ud45c\uc900 \uc2dc\ubc29\uc11c", "1471": "\ubb3c\uc808\uc57d\uc804\ubb38\uc5c5", "1472": "empty", "1473": "empty", "1474": "empty", "1475": "empty", "1476": "empty", "1477": "empty", "1478": "7\uc77c\uae4c\uc9c0 \ubcf4\uad00", "1479": "empty", "1480": "\ud398\ub8e8", "1481": "empty", "1482": "10\ub144", "1483": "\uc218\uc740 \ub610\ub294 \uc218\uc740\ud654\ud569\ubb3c \uad6c\uc131\ud3d0\uae30\ubb3c", "1484": "empty", "1485": "300\ub9cc\uc6d0 \ubd80\uacfc", "1486": "empty", "1487": "EHS\uae30\uc220\uc5f0\uad6c\uc18c", "1488": "2\uae09", "1489": "\ube44\ub85c\ubd09 \uc77c\uc6d0", "1490": "\uc0dd\ud0dc\uad50\uc721", "1491": "\uc218\ub3c4\uad8c\ub300\uae30\ud658\uacbd\uccad", "1492": "\uc544\uc774\ub514\uc5b4\ube0c\ub9bf\uc9c0\uc790\uc0b0\uc6b4\uc6a9", "1493": "10\ub144", "1494": "30%", "1495": "\ub3c5\uc77c", "1496": "\ubc31\uc124 \uacf5\uc8fc", "1497": "empty", "1498": "empty", "1499": "empty", "1500": "empty", "1501": "\uacbd\uae30\ub3c4 \ud3ec\ucc9c\uc2dc", "1502": "empty", "1503": "\uc8fc1\ud68c", "1504": "\ub2e4\ub3c4\ud574\ud574\uc0c1 \uc2dc \ubaa9\uc57c\uc601\uc7a5", "1505": "empty", "1506": "\ud654\ud559\uc548\uc804\uc9c0\ud0b4\uc774\ub85c \uc784\uba85\ud569\ub2c8\ub2e4", "1507": "\ubc18\ub2ec\uac00\uc2b4\uacf0, \uc0b0\uc591, \uc5ec\uc6b0, \uc218\ub2ec \ubb34\uc0b0\uc1e0\uc871\uc81c\ube44", "1508": "35\uac1c \ubaa8\ub378", "1509": "22\uac1c\uad6d 44\uba85", "1510": "\ud1b5\ud569\ud658\uacbd\uad00\ub9ac\uacc4\ud68d\uc11c", "1511": "empty", "1512": "\uc18c\ub3c5\uc81c\uc18c\ub3c5\ubd80\uc0b0\ubb3c", "1513": "200\uc774\uc0c1", "1514": "empty", "1515": "\ud654\ud559\uc0ac\uace0 \ub300\uc751 \ubc0f \uc751\uae09\ucc98\uce58 - \ud654\ud559\uc0ac\uace0 \uc2e0\uace0 \ubc0f \ub300\uc751\uc694\ub839", "1516": "18\uac1c\ud56d\ubaa9", "1517": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "1518": "empty", "1519": "empty", "1520": "empty", "1521": "empty", "1522": "empty", "1523": "\uacfc\uae30\uc815\ud1b5\ubd80", "1524": "\uc804\uae30\uce68\ud22c\uc2dd \uc720\uae30\uc131\uc2ac\ub7ec\uc9c0 \ud0c8\uc218\uae30\uc220", "1525": "\ub85c\uc6b0\ud0f1\ud06c \uc591\ubcc0\uae30 \ubb3c 20% \uc774\uc0c1 \uc808\uc218\uae30\uc220", "1526": "K-water", "1527": "295", "1528": "\ud604\uc7a5 \uc2dc\ub8cc\ucc44\ucde8 \ud6c4 \uc2e4\ud5d8\uc2e4\uc5d0\uc11c \ubd84\uc11d - \uc774\ub3d9\ud558\uba74\uc11c \uc2e4\uc2dc\uac04 \ubd84\uc11d", "1529": "\uc0dd\ubb3c \uadf8\ub9bc \ubbfc\ud654 \uac00\ubc29 \ub9cc\ub4e4\uae30 \ub3d9\ubb3c \uc2dc\uc548\uc774 \ud504\ub9b0\ud2b8\ub41c \uac00\ubc29\uc5d0 \uc0c9\uce60\ud558\ub294 \ud504\ub85c\uadf8\ub7a8", "1530": "\u321c\uc5d8\uc5d0\uc2a4\ud14c\ud06c\ub180\ub85c\uc9c0", "1531": "\ubcf4\uac74\uc704\uc0dd\uc2dc\uc124", "1532": "\uc804\ub098\ubb34 \uc232\uae38", "1533": "\ub3c4\ub85c\u00b7\ucca0\ub3c4\u00b7\uacf5\ud56d(\uad6d\ud1a0\ubd80), \ud56d\ub9cc", "1534": "\uc218\ucc98\ub9ac\uc124\ube44", "1535": "\ud559\uad50\ubcf4\uac74\ubc95", "1536": "empty", "1537": "1\uc778 \ub2f9 \ucd5c\ub300 3 \uc791\ud488", "1538": "empty", "1539": "empty", "1540": "\uac74\ucd95\ubb3c\uad00\ub9ac\uc790\uad50\uc721 \uad50\uc721\ub300\uc0c1\uc790\uac00 \ub41c \ub0a0\ubd80\ud130 1\ub144 \uc774\ub0b4", "1541": "\uce90\ub098\ub2e4,\uc2a4\uc6e8\ub374 200", "1542": "1\uac1c \ud658\uacbd\ubd80\uc7a5\uad00\uc0c1, 100\ub9cc\uc6d0", "1543": "1959.1\uc6d4 1950.1\uc6d4 1997.6\uc6d4 2001.10\uc6d4", "1544": "empty", "1545": "empty", "1546": "\uc548\ub3d9\uc2dc \uc911\uad6c1\uc9c0\uad6c \ub3c4\uc2dc\uce68\uc218\uc608\ubc29\uc0ac\uc5c5 \uc124\uce58\uacf5\uc0ac", "1547": "56\uc5b5\uc6d0", "1548": "empty", "1549": "empty", "1550": "\ub3c4\ub85c\uad50\ud1b5\ubc95", "1551": "05\ubd84", "1552": "empty", "1553": "empty", "1554": "78.00%", "1555": "\uc0b0\uc5c5\uc548\uc804\ud300", "1556": "empty", "1557": "empty", "1558": "5\ub144 \uc774\ud558 \uc9d5\uc5ed \ub610\ub294 5\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "1559": "empty", "1560": "empty", "1561": "empty", "1562": "\uc0dd\ud0dc\uad00\uad11 \ucc38\uc5ec\uc778\uc6d0\uc218 \ubc0f \uc9c0\uc5ed\uc218\uc785 \ub4f1", "1563": "empty", "1564": "\ub300\uad6c\uad11\uc5ed\uc2dc", "1565": "\ubd80\uc0b0\ud56d \uac10\ub9cc\ubd80\ub450", "1566": "\uc11c\uc6b8, \uc591\ud3c9, \uc0dd\ud0dc\uc6d0 495 4\ud68c \ub204\uc801\uac1c\ucd5c 2015\ub144", "1567": "\uc800\uce35\uc2b5\uc6d0 \ud2b9\uc9d5 \uc774\ud0c4\uc2b5\uc6d0", "1568": "empty", "1569": "5\uc77c", "1570": "\uc0c1\u00b7\ud558\uc218\ub3c4 \ucd5c\uc801 \uacf5\uc815 \uc790\ub3d9\uc81c\uc5b4 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "1571": "empty", "1572": "\uc0b0\uc5c5\ubd80, \ud658\uacbd\ubd80", "1573": "\u321c\uc88b\uc740\uc544\uce68", "1574": "\uc548\ub3d9\ub310", "1575": "empty", "1576": "empty", "1577": "VR\u318dAR\ub97c \ud65c\uc6a9\ud55c \uc2a4\ub9c8\ud2b8\uc2dc\ud2f0 \uac00\uc0c1\ub3c4\uc2dc \uccb4\ud5d8", "1578": "\ub300\uae30\ud658\uacbd\ubcf4\uc804\ubc95 \uc81c62\uc870", "1579": "empty", "1580": "167400", "1581": "\ub4dc\ub860", "1582": "50\ub9cc\uc6d0 100\ub9cc\uc6d0 200\ub9cc\uc6d0", "1583": "\ub099\ub3d9\uac15\uccad", "1584": "\ubd80\uc0b0\ud56d \uac10\ub9cc\ubd80\ub450", "1585": "\ud658\uacbd\ubd80", "1586": "2017\ub144 6\uc6d4", "1587": "2\uba85 \uc774\uc0c1", "1588": "\ub514\uc9c0\ud138\uae30\ucd08 \ub514\uc9c0\ud138\uc744 \uc54c\uace0, \uce5c\uc219\ud574\uc9c0\uae30", "1589": "empty", "1590": "\uacbd\uae30 \uc548\uc0b0\uc2dc", "1591": "\ub77c\uc624\uc2a4", "1592": "\uad6d\ud1a0\ubd80 \uc874\uce58 \ud658\uacbd\ubd80 \uc774\uad00 \uc815\ubd80\uc870\uc9c1\ubc95 \ud558\ucc9c\uc5d0 \uad00\ud55c \uc0ac\ubb34", "1593": "\uc778\uacf5\uc9c0\ub2a5 \uba38\ub514\ubd07\uc744 \uc774\uae34 \uc9f1\ub6b1\uc5b4\ub4e4", "1594": "empty", "1595": "empty", "1596": "empty", "1597": "empty", "1598": "\uac74\uad6d\ub300\ud559\uad50", "1599": "\ud15c\ud50c\uc2a4\ud14c\uc774", "1600": "empty", "1601": "\uc18c\ub3c5\uc81c(\uc0b4\uade0\uc81c \ub4f1) - \ubbf8\uc0dd\ubb3c\uc744 \uc5c6\uc560\uae30 \uc704\ud574 \uc0ac\uc6a9\ub418\ub294 \uc81c\ud488", "1602": "25\uc704 50\uacf3", "1603": "empty", "1604": "empty", "1605": "7\ud68c", "1606": "12 20 20 \ucda9\ubd81 \uad34\uc0b0 \uc0b0\ub9c9\uc774 \uc61b\uae38\uacfc \uad34\uc0b0\ud638", "1607": "empty", "1608": "1\uba85/\ud300 \ud658\uacbd\ubd80\uc7a5\uad00\uc0c1 \ubc0f \uc0c1\uae08 300\ub9cc\uc6d0", "1609": "empty", "1610": "empty", "1611": "empty", "1612": "empty", "1613": "empty", "1614": "empty", "1615": "\uc778\uc81c\uad70\uc6b8\uc9c4\uad70", "1616": "empty", "1617": "empty", "1618": "11.20(\uc6d4) 14:00\u223c16:00 \ub300\uc804\uc5ed KTX \ud68c\uc758\uc2e4", "1619": "empty", "1620": "empty", "1621": "12", "1622": "\uc218\ub3c4\uad8c\ub9e4\ub9bd\uc9c0\uad00\ub9ac\uacf5\uc0ac", "1623": "empty", "1624": "\uc0dd\ud0dc\uc5f0\uad6c", "1625": "1,000\ud1a4 \uc774\uc0c1", "1626": "empty", "1627": "empty", "1628": "\ud569\uc131\uc138\uc81c", "1629": "\ucd08\u0387\uc911 \uc0dd\ubb3c\uc790\uc6d0\uccb4\ud5d8\uad50\uc2e4", "1630": "empty", "1631": "\ub2e4\ub85c\uc2a4", "1632": "\ub3c4\ub85c \uac74\uc124\ub85c \uc0b0\ub9bc \ud6fc\uc190", "1633": "1 (\uc8fc)\uc774\ub9c8\ud2b8", "1634": "empty", "1635": "\uacbd\uae30\uc0b0\uc7ac\uc694\uc591\ubcd1\uc6d0", "1636": "empty", "1637": "3\uba85/\ubc31\ub9cc\uba85", "1638": "\ucda9\uc8fc\uc758\ub8cc\uc6d0", "1639": "empty", "1640": "24\uac1c \uc9c0\uc790\uccb4", "1641": "\uc81c\uc8fc", "1642": "empty", "1643": "empty", "1644": "empty", "1645": "6\uac1c\uc6d4\ub9c8\ub2e4 1\ud68c\uc774\uc0c13\ub144", "1646": "empty", "1647": "\ub369\uad74\uc131 \uc2dd\ubb3c", "1648": "empty", "1649": "empty", "1650": "empty", "1651": "empty", "1652": "\ud658\uacbd\ubd80\uc7a5\uad00\uc0c1", "1653": "empty", "1654": "\uc11c\uc774\ub9d0\ub4f1\ub300", "1655": "\uc778\ubb38\ud658\uacbd", "1656": "\ubc95\uc8fc\uc0ac~\uc138\uc2ec\uc815", "1657": "\ud5a5\uc801\ubd09 \uad6c\uc0c1\ub098\ubb34", "1658": "empty", "1659": "empty", "1660": "\ud654\ud559\uc548\uc804\uad00\ub9ac\ub2e8\ubc0f \ud569\ub3d9\ubc29\uc7ac\uc13c\ud130 \uc9c1\uc6d0\uc5ed\ub7c9\uac15\ud654\uacfc\uc815", "1661": "empty", "1662": "empty", "1663": "\uc5c5\ubb34\uc815\uc9c0 3\uac1c\uc6d4", "1664": "2", "1665": "empty", "1666": "\u2160\uadf8\ub8f9 \ucd5c\uc6b0\uc218 \ub300\uc804\uc2dc", "1667": "160\uc5b5\uc6d0", "1668": "empty", "1669": "empty", "1670": "empty", "1671": "0.01%", "1672": "\uc644\uad6c\ub958(3,737) \uc720\uc544\uc7a5\ub09c\uac10", "1673": "20\u223c30\ubd84", "1674": "30\uc120 \uc120\uc885 \uac1c\uccb4\ub294 \uce58\ubc2d\ubaa9 \ub300\ud53c\uc18c \uc778\uadfc \ube44\ubc95\uc815 \uad6c\uc5ed\uc5d0 \uc790\uc0dd", "1675": "empty", "1676": "\uc785\uc8fc\ubbfc \ub300\uc0c1\ub2e8\uc9c0 \uc5b4\ub9b0\uc774\uc9d1 \ub300\uc0c1", "1677": "\ud638\ubc18\uc0c8", "1678": "empty", "1679": "empty", "1680": "empty", "1681": "9\uc6d4 9\uc77c~11\uc77c", "1682": "28\uc6d0", "1683": "empty", "1684": "18\uc885", "1685": "empty", "1686": "\ub3d9\ub300\ubb38\uad6c, \uad11\uc9c4\uad6c, \ub178\uc6d0\uad6c \uad00\uc545\uad6c, \ub9c8\ud3ec\uad6c \uc911\uacc4\ub3d9, \uc2e0\ub9bc\ub3d9 \uacf5\ub355\ub3d9", "1687": "354 \uba85", "1688": "85ppm \uc774\ud55850ppm \uc774\ud55850ppm \uc774\ud558", "1689": "GS\uac74\uc124\u321c\ubcbd\uc0b0\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1\u321c \ud604\ub300\uac74\uc124\u321c \u321c\ud55c\ud654\uac74\uc124", "1690": "3.6\uc5b5\uc6d0", "1691": "empty", "1692": "10\uc774\ud558", "1693": "empty", "1694": "empty", "1695": "empty", "1696": "\ud55c\uad6d\uc218\uc790\uc6d0\uacf5\uc0ac", "1697": "empty", "1698": "\uc5d0\ucf54\uc5d0\ub108\uc9c0", "1699": "1\uac1c \uae30\uc5c5, 25\uc5b5\uc6d0", "1700": "empty", "1701": "empty", "1702": "\ub3c5\ubbf8\ub098\ub9ac", "1703": "\uad6d\ub9bd\uc0dd\ubb3c\uc790\uc6d0\uad00", "1704": "empty", "1705": "empty", "1706": "\uc6d0\ud615\ud480\uc7a5", "1707": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "1708": "50\uba85", "1709": "4\uc5b5\uc6d0", "1710": "51", "1711": "empty", "1712": "\uc885\uc0ac\uc790 \uad50\uc721\uacfc\uc815", "1713": "5\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed\uc774\ub098 3\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "1714": "604\uac1c\uc18c", "1715": "\ubca4\uc820, \ube44\uc18c \ub4f1 9\uc885", "1716": "empty", "1717": "\uc21c\ucc9c\uc655\uc6b4\ucd08\ub4f1\ud559\uad50", "1718": "\uc77c\ubc18\uc758\ub8cc\ud3d0\uae30\ubb3c", "1719": "empty", "1720": "empty", "1721": "empty", "1722": "\uac00\ucd95\ubd84\ub1e8\ub97c \ud65c\uc6a9 \ubc14\uc774\uc624\uac00\uc2a4 \uc0dd\uc0b0 \ubc0f \ud1f4\ube44\u00b7\uc561\ube44\ud654 \uc2dc\uc124", "1723": "\uacbd\ub0a8 \ud558\ub3d9", "1724": "empty", "1725": "empty", "1726": "\ud55c\uad6d\ubc14\uc774\uc624\ud611\ud68c", "1727": "empty", "1728": "empty", "1729": "15\ubd84", "1730": "1,000\uac1c\uc18c", "1731": "empty", "1732": "6,000\uc6d0 7,000\uc6d0 \ub2e8\uccb4\uc694\uae08 3,000\uc6d0", "1733": "empty", "1734": "\ud55c\uad6d\uc11c\ubd80\ubc1c\uc804\u321c", "1735": "\uad11\uc0b0\ubcc4 10\uac1c \uc9c0\uc810 \ub0b4\uc678", "1736": "\ud574\uc6b4\ub300 \uc774\ubca4\ud2b8 \uad11\uc7a5", "1737": "empty", "1738": "\ubc18\ub3c4\uccb4 \uc81c\uc870\uc5c5", "1739": "1 \uc8fd\uc554\uac74\uc124\u321c", "1740": "\uac74\ucd95\uc790\uc7ac\uba85 \uc624\uc5fc\ubb3c\uc9c8\ubc29\ucd9c\ub18d\ub3c4(\u338e/\u33a1\u2024h) \uae30\uc900", "1741": "empty", "1742": "empty", "1743": "\uad00\uc7a5\uc0c1 \ucd5c\uc6b0\uc218\uc0c1 \ub3d9\ubb3c *** \ud070\uc720\ub9ac\uc0c8", "1744": "empty", "1745": "empty", "1746": "empty", "1747": "228,000\uc6d0", "1748": "empty", "1749": "empty", "1750": "300\ub9cc\uc6d0", "1751": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc1a1\ud30c\uad6c", "1752": "10% \uc774\ud558", "1753": "\uc0ac\uc5c5\uc7a5\ud3d0\uae30\ubb3c(3) \ud55c\uad6d\ud6c4\uc9c0\uc81c\ub85d\uc2a4", "1754": "\uc0ac\ud68c/\uc724\ub9ac\uc801\ucc45\uc784", "1755": "empty", "1756": "empty", "1757": "280\uc885", "1758": "\ud5e8\ucf08\ud14c\ud06c\ub180\ub7ec\uc9c0\uc2a4 \ud30c\ud14d\uc2a4 PL60 0.098", "1759": "empty", "1760": "empty", "1761": "empty", "1762": "empty", "1763": "empty", "1764": "empty", "1765": "25%", "1766": "20%", "1767": "empty", "1768": "\uae30\uc220\uac1c\ubc1c \uc6b0\uc120\uc9c0\uc6d0", "1769": "\uc870\ub958 \uc885\ubcc4 \ub18d\ub3c4 \ucd94\uc815, \ubb3c\uc9c8\ubcc4 \ubd84\uad11\ud2b9\uc131 \uc2e4\ud5d8 \ubd84\uc11d 2016\ub144", "1770": "empty", "1771": "\ud398\uae30\ubb3c\uad00\ub9ac\ubc95", "1772": "34\uc5b5\uc6d0", "1773": "18\uac1c\uc18c", "1774": "empty", "1775": "empty", "1776": "empty", "1777": "empty", "1778": "\ub2e4\ub3c4\ud574\uc11c\ubd80", "1779": "\ud55c\uad6d \ud658\uacbd\ubd80 \uc7a5\uad00", "1780": "empty", "1781": "empty", "1782": "empty", "1783": "empty", "1784": "1 \ud658\uacbd\ubd80 \uc7a5\uad00\uc0c1", "1785": "empty", "1786": "\ub300\uad6d\ubbfc, \ud589\uc815, \uacf5\uacf5 \uc57d39\ub9cc\uac74", "1787": "\uae30\uacc4\u2024\uae30\uad6c\ub958 \uc138\ucc99 \ub4f1 \uc704\uc0dd\uad00\ub9ac \ud559\uad50 \ub4f1 \uad50\uc721\uae30\uad00", "1788": "\ub2f9\uc0ac\uad6d\ucd1d\ud68c", "1789": "1", "1790": "1", "1791": "empty", "1792": "\ucc3d\uc6d0\ub300\ud559\uad50", "1793": "\uac31\ub0b4\uc218, \ud558\ucc9c\uc218, \uc9c0\ud558\uc218 \uc870\uc0ac\uc218\ub7c9 \uad11\uc0b0\ubcc4 5\uac1c \uc9c0\uc810 \ub0b4\uc678", "1794": "100\uba85", "1795": "500\ub9cc\uc6d0", "1796": "\uc804\uc8fc\uc2dc", "1797": "empty", "1798": "\ub3c4\ub85c, \ub18d\ub85c, \uc8fc\ucc28\uc7a5, \uad11\uc7a5 \ub4f1\uc758 \uc544\uc2a4\ud314\ud2b8 \ucf58\ud06c\ub9ac\ud2b8 \ud3ec\uc7a5\uc6a9", "1799": "\ud55c\uad6d\ub3c4\ub85c\uacf5\uc0ac", "1800": "\uac31\uad6c\uae30\uc900 \ud558\ub958 4km \uc774\ub0b4", "1801": "empty", "1802": "empty", "1803": "\uc9c0\ub3c4\uc810\uac80", "1804": "1.0", "1805": "empty", "1806": "\ud504\ub791\uc2a4", "1807": "\ud55c\uad6d\uc218\uc790\uc6d0\uacf5\uc0ac", "1808": "empty", "1809": "empty", "1810": "empty", "1811": "\uc790\ub3d9\ucc28 \uc641\uc2a4, \ube44\ud589\uae30\uc120\uccb4 \uc138\ucc99\uc81c, \uae08\uc18d \uae30\ub984\uae30\uc81c\uac70 \ucf54\ud305\uc81c", "1812": "\uc0bc\uc131\uc804\uc790 \ud3ec\uc2a4\ucf54\uad11\uc591", "1813": "\uc218\uc785\uc5c5\uc790", "1814": "4\ub2e8\uad6c\uc870 \uc774\uc0c1", "1815": "empty", "1816": "80~100", "1817": "empty", "1818": "empty", "1819": "empty", "1820": "empty", "1821": "empty", "1822": "empty", "1823": "empty", "1824": "empty", "1825": "30.8%", "1826": "1\uba85", "1827": "empty", "1828": "5\ub144", "1829": "empty", "1830": "empty", "1831": "empty", "1832": "\uacbd\uc601\uc790 \uac80\ud1a0", "1833": "10\ub9cc\uc6d0 \uc57c\uc0dd\ub3d9\ubb3c \ud3ec\ud68d \ubc0f \uc790\uc5f0\uc790\uc6d0 \ubc18\ucd9c\uae08\uc9c0", "1834": "\ud589\uc815\uc548\uc804\ubd80", "1835": "13\uac1c", "1836": "empty", "1837": "4,624\uc5b5\uc6d0", "1838": "CDM \uc0ac\uc5c5 \uc218\ud589", "1839": "\uc885\uc774\ud638\uc77c", "1840": "\uc7ac\ud65c\uc6a9\uc5c5\uccb4", "1841": "empty", "1842": "\uacbd\uae30\ub3c4 \uc720\uc544\uccb4\ud5d8\uad50\uc721\uc6d0", "1843": "\ubb3c\uc5d0 \uc774\uc0c1\ud55c \uc0c9\uc774 \ub098\ud0c0\ub098\uc9c0 \uc544\ub2c8\ud560 \uac83", "1844": "empty", "1845": "10\uba85, 8\uba85 \uc608\uc0b0 7\ubc31\ub9cc\ubd88/\ub144 \uc218\uc900", "1846": "1983", "1847": "\uacbd\ub0a8 \uac70\uc81c\uc2dc \ub0a8\ubd80\uba74 \uac08\uacf6\ub9ac", "1848": "\uc601\uc0b0\uac15\uccad \ub4f1 \uc6b8\uc0b0 \ud558\ucc9c \uc815\ud654\ud65c\ub3d9 3\uc6d4", "1849": "\ucee8\uc124\ud305&\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1 \ubd80\ubb38", "1850": "\ub300\uc6b0\uac74\uc124", "1851": "empty", "1852": "50\ub9cc\uc6d0", "1853": "empty", "1854": "\uccad\ub465\uc624\ub9ac", "1855": "LH\uacf5\uc0ac", "1856": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "1857": "502\uac1c", "1858": "\ubd80\uac15\ud14c\ud06c", "1859": "empty", "1860": "194", "1861": "\ub300\uad6c \uc11c\ubd80\uacf5\uacf5\ud558\uc218\ucc98\ub9ac\uc7a5", "1862": "empty", "1863": "empty", "1864": "empty", "1865": "\uc2e0\ud55c\uc740\ud589", "1866": "\uace0\ud765 \ubc0f \ud574\ub0a8 \uac04\ucc99\uc9c0\uc5d0\uc11c\uc758 \uc778\uacf5\uc2b5\uc9c0 \uc870\uc131\uc0ac\ub840", "1867": "\uacbd\uad00\uc0dd\ud0dc", "1868": "130\uc810", "1869": "empty", "1870": "empty", "1871": "empty", "1872": "empty", "1873": "empty", "1874": "10 \uc774\ud558", "1875": "30\uc77c", "1876": "\uad6d\uc81c\ud658\uacbd\ud611\uc0c1", "1877": "90\ub144\ub300 \uc774\ud6c4\ub85c \ucd94\uc815", "1878": "\ud604\ub300\uc790\ub3d9\ucc28", "1879": "empty", "1880": "2011.5.26", "1881": "empty", "1882": "\ud55c\uad6d\ud3d0\uae30\ubb3c\ud611\ud68c", "1883": "empty", "1884": "empty", "1885": "607 1\uc6d4", "1886": "\ub450\uaebc\ube44\ud558\uc6b0\uc9d5", "1887": "\uad6d\ubbfc\uae30\ucd08\uc0dd\ud65c\ubcf4\uc7a5\ubc95 \ucd5c\uc800\uc0dd\uacc4\ube44 \ubbf8\ub9cc \uae30\ucd08\uc0dd\ud65c\uc218\uae09\uc790 \uc99d\uba85\uc11c", "1888": "empty", "1889": "empty", "1890": "\ub2e4\ub3c4\ud574\ud574\uc0c1\uad6d\ub9bd\uacf5\uc6d0\uccad\uc0b0\ub3c4 \uc0c1\uc11c\ub9c8\uc744", "1891": "empty", "1892": "\ubb34\ucc99\ucd94\ub3d9\ubb3c", "1893": "empty", "1894": "\uc11c\uc2dd\uc720\ud615(12\ud56d\ubaa9) \ube44\uace0 \uc2dc\uac00\ud654\uac74\uc870\uc9c0\uc5ed", "1895": "empty", "1896": "\uc911\uc559\ucde8\ud569\uac80\ud1a0(Centralized Review) \uac80\ud1a0\uc804\ubb38\uac00 12\uba85", "1897": "35", "1898": "empty", "1899": "10\ub144", "1900": "empty", "1901": "empty", "1902": "\ud63c\ud569\ubb3c\uc778 \uc720\ub3c5\ubb3c\uc758 \ubd84\ub958\u318d\ud45c\uc2dc \uacf5\uac1c", "1903": "\uad6d\ub9bd\uacf5\uc6d0", "1904": "\uad11\uc8fc, \uac15\uc6d0, \ucda9\ubd81, \uacbd\ubd81, \uc804\ubd81, \uc81c\uc8fc, \uc218\uacf5", "1905": "empty", "1906": "\uc77c\ubcf8", "1907": "\uc5f0\uad6c\uacf5\uac04 \ub2e4\ub978 \ubd80\uc11c\uc640 \uad6c\ubd84\ub41c \ub3c5\ub9bd\ub41c \uacf5\uac04 \ud655\ubcf4", "1908": "empty", "1909": "1\ud68c \uc774\uc0c1 \uc720\uad00\uae30\uad00 \ubaa8\uc758 \ud6c8\ub828 \ubc0f \uad00\uacc4\uc790 \ubc29\uc7ac\ub9e4\ub274\uc5bc \uc219\uc9c0", "1910": "\ubc18\ub2ec\uac00\uc2b4\uacf0", "1911": "empty", "1912": "\uad6d\ud1a0\ubd80 \uc0ac\uc5c5\uba85 \uc0dd\ud0dc\ud558\ucc9c \ubcf5\uc6d0\uc0ac\uc5c5 \uad6d\uac00/\uc9c0\ubc29\ud558\ucc9c \uc815\ube44\uc0ac\uc5c5", "1913": "\ub18d\uc5b4\ucd0c\uacf5\uc0ac", "1914": "\uc724\ud65c\uc131", "1915": "90\uba85", "1916": "\uad6d\uc81c\ud658\uacbd\uaddc\uc81c\uc815\ucc45", "1917": "\uc5f0\ub8cc\uc18c\ube44\uc728\uc744 \uace0\ub824\ud55c \ucd5c\uc801 \ubcc0\uc18d\uc2dc\uc810 \ud45c\uc2dc", "1918": "25\uac1c \uad6c36\uba85", "1919": "empty", "1920": "empty", "1921": "\uad00\uc18d\uc2dd\ubb3c \ubd84\ub958\uc758 \uc774\ud574 \ubc0f \uc2e4\uc2b5", "1922": "200\uc2dc\uac04", "1923": "empty", "1924": "empty", "1925": "\uc0c8\ub9cc\uae08\uc720\uc5ed \uc2dc\u00b7\uad70", "1926": "empty", "1927": "30%", "1928": "\ubb38\uc218\uc0b0", "1929": "91\uac1c \uc9c0\uc5ed 90102", "1930": "empty", "1931": "5", "1932": "50\uc5ec\uba85", "1933": "empty", "1934": "19.0", "1935": "empty", "1936": "\uc11d\uc720\ud654\ud559\uc81c\uc870", "1937": "\ud718\ubc1c\uc720 5MY-SM-23-23", "1938": "\uc0ac\ube48", "1939": "empty", "1940": "1\uac1c\uccb4", "1941": "empty", "1942": "empty", "1943": "empty", "1944": "empty", "1945": "\uc12c\uc9c4\uac15\uc218\ub2ec\uc11c\uc2dd\uc9c0", "1946": "empty", "1947": "empty", "1948": "empty", "1949": "\uae30\uc220\uc9c0\uc6d0\ud300", "1950": "\ub300\uae30\ud658\uacbd\uce21\uc815\ubd84\uc11d \ubd84\uc57c", "1951": "empty", "1952": "11\uac1c \uad11\uc0b0", "1953": "\ubd84\ub9ac\uc790\uc6d0 19,400\uc8fc", "1954": "empty", "1955": "\ud55c\uad6d\uc0dd\uba85\uacf5\ud559\uc5f0\uad6c\uc6d0", "1956": "empty", "1957": "\ud504\ub85c\ud310", "1958": "empty", "1959": "1\uac1c\uc6d4 \ub2e8\uc704 -\uc8fc3\uc77c(3\ud68c) \uc2e4\uc2dc - \ucd1d 12\ud68c", "1960": "89\uc138\ub300214\uba85", "1961": "empty", "1962": "30\uba85", "1963": "\uac00\uc815\uc6a9 \ubcf4\uc77c\ub7ec", "1964": "\uce74\ub4dc\ubbb4", "1965": "MSD", "1966": "40\uba85", "1967": "empty", "1968": "55 \uc774\ud558", "1969": "1\uae30\uad00", "1970": "\uac15\uc6d0 \ucd98\ucc9c", "1971": "\ud3ec\uc720\ub958", "1972": "40\uba85", "1973": "empty", "1974": "empty", "1975": "\uae30\uc544\uc790\ub3d9\ucc28(\uc8fc) \uc11c\uc6b8 \uc11c\ucd08\uad6c", "1976": "30\uba85", "1977": "2000\ub144", "1978": "150", "1979": "empty", "1980": "empty", "1981": "empty", "1982": "\ud55c\uac15\uc720\uc5ed\ud658\uacbd\uccad \ud658\uacbd\uad00\ub9ac\uacfc", "1983": "\uace0\uac1d\ub9cc\uc871 \u25e6\uace0\uac1d \ubaa8\ub2c8\ud130\ub9c1\u25e6\uace0\uac1d \ub9cc\uc871\ub3c4 \u25e6\uace0\uac1d \ubaa8\ub2c8\ud130\ub9c1\u25e6\uace0\uac1d \ub9cc\uc871\ub3c4 1818 36", "1984": "empty", "1985": "30\uba85", "1986": "\uc2e0\ub450\ub9ac\uc0ac\uad6c\ud574\uc5ed", "1987": "\uc8fc\ub0a8\uc800\uc218\uc9c0 \u2192 \uc218\ub85c\uc655\ub989", "1988": "\uac00\uc18c\uc81c", "1989": "empty", "1990": "\uacf5\uacf5\ud558\uc218\ucc98\ub9ac\uc2dc\uc124 \uacac\ud559", "1991": "empty", "1992": "\ud589\uc815\ucc98\ubd84 \ubc0f \uc0ac\ud6c4\uad00\ub9ac \ub4f1", "1993": "\uc2dc\uc2a4\ud0dd\uc2dc\uc544\uce58", "1994": "353\uac1c\uc18c", "1995": "empty", "1996": "2\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "1997": "\ud55c\ub77c\uc0b0\uc5c5\uac1c\ubc1c", "1998": "empty", "1999": "300\ub9cc \uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "2000": "empty", "2001": "40\uba85", "2002": "3,000\uc774\uc0c1", "2003": "\uacbd\ucc30\uccad", "2004": "\uc601\uad6d, \ub124\ub35c\ub780\ub4dc", "2005": "empty", "2006": "empty", "2007": "\ud658\uacbd\uc601\ud5a5\ud3c9\uac00", "2008": "empty", "2009": "empty", "2010": "31,806 \uc138\ub300 2,428\uc138\ub300 \uc751\ub2f5\uc790\uc218 528\uba85", "2011": "empty", "2012": "40\uba85", "2013": "empty", "2014": "empty", "2015": "\uc11c\uc6b8\uc2dc, \ubd80\uc0b0\uc2dc, \ub300\uad6c\uc2dc, \uc778\ucc9c\uc2dc, \uc6b8\uc0b0\uc2dc, \uad11\uc8fc\uc2dc, \ub300\uc804\uc2dc", "2016": "30\uba85", "2017": "empty", "2018": "empty", "2019": "empty", "2020": "empty", "2021": "\ud658\uacbd\uc131\uacfc \uc81c\uace0", "2022": "empty", "2023": "empty", "2024": "20\uba85", "2025": "\ub18d\ub9bc\ubd80", "2026": "\ubc84\ub4e4\uce58", "2027": "20\uc774\ud558", "2028": "empty", "2029": "\uc2e4\ub0b4\uacf5\uae30\uc9c8 \uce21\uc815\u00b7\uacf5\uace0\uc81c\ub3c4\uc5d0 \ub300\ud55c \uc758\uacac", "2030": "40,000\uc6d0", "2031": "\ub374\ub9c8\ud06c 1", "2032": "empty", "2033": "20\uba85", "2034": "\ud45c\uc900\ubd84\uc11d\ubc29\ubc95 \uc138\ud3ec\ubc30\uc591\ubc95 \uc57d 130\ub9cc\uc6d0", "2035": "empty", "2036": "empty", "2037": "empty", "2038": "30\ubd84", "2039": "\ud55c\uad6d\ud1a0\uc9c0\uacf5\uc0ac", "2040": "15\uba85", "2041": "empty", "2042": "empty", "2043": "100\ub9cc\uc6d0 \uc774\ud558\uc758 \uacfc\ud0dc\ub8cc", "2044": "empty", "2045": "141\uac1c \uae30\uc5c5 \uc778\ud134 \ucc38\uc5ec 193\uba85", "2046": "empty", "2047": "2006\ub144 EURO-4 \uc218\uc900, 2010\ub144 EURO-5 \uc218\uc900", "2048": "\ubaa9\uc81c\ud488", "2049": "empty", "2050": "\uc218\uc9c8\ud658\uacbd \uce21\uc815\ubd84\uc11d\uc0ac", "2051": "empty", "2052": "\uc2dc\uc124\uc6a9\ub7c9\uc758 20%\ubbf8\ub9cc", "2053": "1\uc9c0\uc810 \uc774\uc0c1 \ub178\uc120\ubc84\uc2a4 \ub0b4 \uc911\uc559\uc810\ub192\uc774 1m \uc774\uc0c1", "2054": "30 \ucd08\uacfc", "2055": "empty", "2056": "empty", "2057": "empty", "2058": "\uc721\uc0c1\ub3d9\ubb3c\uc0c1", "2059": "\u25e6\uc720\ub8cc - \uc5b4 \ub978 1,600\uc6d0", "2060": "empty", "2061": "empty", "2062": "empty", "2063": "\uc591\ud3c9\uad70", "2064": "44\uac1c\uc18c", "2065": "empty", "2066": "\ud53c\uc11c\uc758 \ub05d, \uc4f0\ub808\uae30 \ucc9c\uc9c0", "2067": "empty", "2068": "\ud658\uacbd\uc131\uacfc \uc81c\uace0", "2069": "empty", "2070": "70\uff5e90% 50%", "2071": "\ub300\ud3ec\ub3d9 \uc4f0\ub808\uae30\ub9e4\ub9bd\uc7a5", "2072": "empty", "2073": "2-1\uacf5\uad6c 754 90\uc77c", "2074": "10 nan \uc7ac\uc815\uacb0\uc815\uc758 \ubc95\uc801 \uadfc\uac70 \ubbf8\ud761 \ubc0f \ubd80\uc801\uc808 4", "2075": "\uac1c\ub2f9 1.2\uc6d0", "2076": "empty", "2077": "1\ud68c", "2078": "empty", "2079": "\uc911\uc559\ud658\uacbd\ubd84\uc7c1\uc870\uc815\uc704\uc6d0\ud68c", "2080": "\ud558\uc218\ucc98\ub9ac\uc7a5 \uac1c\ub7c9 \ubc0f \uac1c\uc120 \ubc29\uc548 \uc5f0\uad6c", "2081": "empty", "2082": "\uc885\ub85c\uad6c \uccad\uc640\ub300 \ub4a4\ud3b8", "2083": "empty", "2084": "\ub0a9", "2085": "\uc218\uc2dc \uc778\ud5c8\uac00 \uc811\uc218\uc804 \uac1c\ub7b5\uc801 \uc0ac\uc5c5\uacc4\ud68d \uc218\ub9bd\uc2dc", "2086": "\uc0b0\uc131\uac15\ud558\ubb3c \ud3c9\uac00\uae30\ubc95 \uac1c\ubc1c", "2087": "1 \ud658\uacbd\ubd80\uc7a5\uad00\uc0c1 \ubc0f \ubd80\uc0c1 300\ub9cc\uc6d0", "2088": "empty", "2089": "empty", "2090": "30,000\u33a1", "2091": "\ud658\uacbd\uc7a5\uad00\ud68c\uc758\ub97c \uc5f0\ub840\ud654\ub85c \ubcc0\uacbd", "2092": "empty", "2093": "16\uac1c \uc9c0\uc810 \u25e6\uc804\uad6d \ub3c4\uc2dc\ub300\uae30 \uce21\uc815\uc18c 207\uac1c \uc9c0\uc810", "2094": "6\uac00\ud06c\ub86c", "2095": "\uc790\uc5f0\ubcf4\uc804 \uc804\ub7b5\ud658\uacbd\ud3c9\uac00\uc81c\ub3c4", "2096": "empty", "2097": "empty", "2098": "\uc57c\uc0dd\ub3d9\u00b7\uc2dd\ubb3c\uc744 \ud3ec\ud68d\u00b7\ucc44\ucde8\u00b7\uc774\uc2dd\u00b7\ud6fc\uc190\ud558\uac70\ub098 \uace0\uc0ac\uc2dc\ud0a4\ub294 \ud589\uc704", "2099": "empty", "2100": "84.5 87.7 3.2\ud1a4 \uac10\uc18c", "2101": "\uc0b0\uc5c5\uacc4(6) (\uc8fc)\ud48d\uc0b0 *** \ud658\uacbd\ub2f4\ub2f9\uacfc\uc7a5", "2102": "empty", "2103": "\uc644\uc804\uc5f0\uc18c\uac00\ub2a5\ub7c9", "2104": "44\u2103", "2105": "2006\ub144 7\uc6d4", "2106": "\uad6d\ub9bd\ud658\uacbd\uc5f0\uad6c\uc6d0", "2107": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "2108": "\uae30\uc220\uae30\ud68d\ud300\uc7a5", "2109": "empty", "2110": "26\uac1c", "2111": "20\uc810", "2112": "empty", "2113": "\ud669\uc0ac\uc2dc \uc2dc\uc815 \ub370\uc774\ud130\ub97c \uc8fc\ub85c \uc218\uc9d1\ud558\ub294 \uc9c0\uc810", "2114": "30\uc5ec\uac1c", "2115": "empty", "2116": "empty", "2117": "\ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\uc5f0\uad6c\uc6d0", "2118": "empty", "2119": "empty", "2120": "empty", "2121": "\uc678\uad50 \ud1b5\uc0c1\ubd80", "2122": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "2123": "empty", "2124": "\ub300\uc804\uc2dc\ubbfc\ud68c\uad00", "2125": "\uae30\uc5c5\ud3ec\ub7fc 3.25-26 \ub86f\ub370\ud638\ud154", "2126": "\uacfc\ucc9c \ubb38\uc6d0\uc911\ud559\uad50", "2127": "\ub178\uc57d\uc790, \ud638\ud761\uae30 \uc9c8\ud658\uc790 \uc2e4\uc678\ud65c\ub3d9 \uc790\uc81c", "2128": "empty", "2129": "empty", "2130": "empty", "2131": "\ud658\uacbd\uad00\ub9ac\uacf5\ub2e8", "2132": "empty", "2133": "6\uba85", "2134": "10%\uc774\ud558", "2135": "EU\uc758 \ud658\uacbd \uad00\ub828 \ubb34\uc5ed\uaddc\uc81c\uc5d0 \ub300\ud55c \ud55c\uad6d \uc0b0\uc5c5\uacc4\uc758 \uacbd\uc7c1\ub825 \uac15\ud654\ubc29\uc548", "2136": "\uac00\uc2a4\ub7a8\ud504", "2137": "\ud638\ub0a8\ud654\ub825", "2138": "EU \ub4f1 \uc8fc\uc694 \ub300\uc0c1\uad6d \ud658\uacbd\ubd80\ucc98 \uc778\ud130\ub137 \uac80\uc0c9", "2139": "empty", "2140": "\uc561\uccb4 \ub610\ub294 \ubd84\ub9d0\uc758 \uc0b4\ud3ec\uae30 \ubd80\ubd84\ud488", "2141": "ELV:\ud3d0\ucc28\ucc98\ub9ac\uc9c0\uce68", "2142": "\uc18c\uc74c\uae30", "2143": "40\uff5e 60\ub300", "2144": "100\uc6d0/\ud1a4", "2145": "30min", "2146": "\ucda9\uccad\ubd81\ub3c4, \ubd80\uc0b0\uad11\uc5ed\uc2dc \uc6b8\uc0b0\uad11\uc5ed\uc2dc", "2147": "\ud55c\uad6d\uc0b0\uc5c5\uc778\ub825\uad00\ub9ac\uacf5\ub2e8", "2148": "empty", "2149": "\ubbfc\uac04\uc778 \uad6d\uac00\uacf5\ubb34\uc6d0 \uad6d\uac00\uacf5\ubb34\uc6d0", "2150": "empty", "2151": "\uc644\ucda9\uad6c\uc5ed", "2152": "empty", "2153": "empty", "2154": "empty", "2155": "empty", "2156": "\uacbd\uace0 \uc0ac\uc6a9\uc911\uc9c0", "2157": "empty", "2158": "empty", "2159": "\ubd80\uc0b0\uad11\uc5ed\uc2dc", "2160": "\ub300\uac80\ucc30\uccad", "2161": "1967\ub144", "2162": "empty", "2163": "empty", "2164": "\ubbf8\uc218\ud655 \uc874\uce58", "2165": "empty", "2166": "empty", "2167": "empty", "2168": "empty", "2169": "\uacbd\uc778\uc9c0\ubc29\ud658\uacbd\uad00\ub9ac\uccad \uc8fc\uad00\ub300\ud559(\uc13c\ud130\uc7a5) \uac15\uc6d0\ub300\ud559\uad50", "2170": "empty", "2171": "\uc81c\uc8fc", "2172": "\uc7a5\uc131\uad70", "2173": "empty", "2174": "empty", "2175": "\ud0dc\ubc31 \ud0dc\ubc31\uc0b0", "2176": "96\ub144", "2177": "empty", "2178": "\ud658\uacbd\ubd80\ud658\uacbd\uc2e0\uae30\uc220", "2179": "\ub2ec\ube5b\ud0a4\ud2b8", "2180": "10\uac74 10\uc810", "2181": "\uac04\ub2f4\ud68c", "2182": "4. \uccad\uac04\uc815", "2183": "empty", "2184": "\uad6c\ub840 \ud654\uc5c4\uc0ac \ubaa9\uc870\ube44\ub85c\uc790\ub098\uc0bc\uc2e0\ubd88\uc88c\uc0c1", "2185": "\uc6b8\uc9c4 \ubd88\uc601\uc0ac \ubd88\uc5f0", "2186": "2\uc885 2\ucc45", "2187": "\uacbd\ubcf5\uad81 \ud765\ubcf5\uc804", "2188": "\uadfc\ub300 \uac74\ucd95\ubb3c", "2189": "empty", "2190": "1\uba85", "2191": "empty", "2192": "empty", "2193": "35\uad8c", "2194": "\uc784\uae08\ub2d8 \uc54c\uace0 \uc2f6\uc5b4\uc694", "2195": "empty", "2196": "\uc81c306\ud638", "2197": "\uae30\uc7a5 \uac00\ub3d9\uc720\uc801", "2198": "empty", "2199": "\uc815\ubcf4\ud654\ub2f4\ub2f9\uad00\uc2e4", "2200": "empty", "2201": "empty", "2202": "empty", "2203": "empty", "2204": "empty", "2205": "6 \u300c\ud55c\uae00\uc810\uc790\u300d\ucd08\uace0", "2206": "6.0 \ucca0\uc81c\uc740\uc785\uc0ac \ucd1b\ub300, \uc720\uc81c\ub4f1\uacbd", "2207": "empty", "2208": "\uacbd\uae30\uac00\uad6c\ucc3d\uc791\uc2a4\ud29c\ub514\uc624 \uc804\uc2dc\uc7a5", "2209": "empty", "2210": "\uc5f0\uc9c0 \ubc0f \uc800\uc218\uc9c0 \uc77c\uc6d0(580m) \ucc38\ub098\ubb34, \ub2e8\ud48d\ub098\ubb34", "2211": "empty", "2212": "\ud558\ud68c\ub9c8\uc744 \uc5fc\ud589\ub2f9\uace0\ud0dd", "2213": "20", "2214": "empty", "2215": "empty", "2216": "empty", "2217": "1.0 \uace0\ub3c4\ubcf4\uc874\uc721\uc131\uc0ac\uc5c5 \uc190\uc2e4\ubcf4\uc0c1 \uace0\ub3c4\ubcf4\uc874\uc721\uc131\uacfc", "2218": "\uc1a1\ub3c4", "2219": "\ud55c\uad6d\uc758\uc11c\uc6d0", "2220": "empty", "2221": "empty", "2222": "\uc218\uc6d0 \ud314\ub2ec\ubb38", "2223": "\uc21c\ucc9c\ub9cc \uc0ac\uacc4", "2224": "empty", "2225": "empty", "2226": "1\ucc28 \ud569\uaca9\uc790 \ubc1c\ud45c", "2227": "\ud55c\uc0b0\ubaa8\uc2dc\uc9dc\uae30", "2228": "1\ud68c 120\ubd84", "2229": "empty", "2230": "empty", "2231": "empty", "2232": "\uc11c\uc9c4\ubb38\ud654\uc720\uc0b0\u321c", "2233": "2", "2234": "empty", "2235": "\ud5a5\ub450\uacc4\ub180\uc774", "2236": "\uc11c\uc6b8 \ud765\uc778\uc9c0\ubb38", "2237": "\uc138\ub124\uac08", "2238": "\uc804\ubd81 \uc804\uc8fc\uc2dc\uccad \uc804\uc8fc\ubb38\ud654\uc7ac\ub2e8", "2239": "10", "2240": "1\ud3ed", "2241": "empty", "2242": "\ub9d0\uac11\uc637\uacfc \uace0\ub9ac\uc790\ub8e8\ud070\uce7c", "2243": "empty", "2244": "\ud658\uacbd\ubd80\ub300\ud55c\uc0c1\ud558\uc218\ub3c4\ud559\ud68c", "2245": "\ubbf8\uad6d, \uc77c\ubcf8, \uce90\ub098\ub2e4 \ub4f1131\uac1c\uad6d", "2246": "empty", "2247": "\uada4\uc2dd\ub840", "2248": "empty", "2249": "3\ucd08", "2250": "KTX \uc88c\uc11d \uc9c0\uc815 \ud560\uc778 -\uc2dc\ud2f0\ud22c\uc5b4 \ubb34\ub8cc", "2251": "empty", "2252": "\ucd95\uc0b0\u00b7\uc2dc\uc124\uc6d0\uc608 \ub4f1 \ub18d\uac00", "2253": "1\uc810", "2254": "empty", "2255": "empty", "2256": "empty", "2257": "\uc11c\uc6b8 \uc2e0\ub3c4\uc911 \uc9c4\uad00\uc0ac \ud0dc\uadf9\uae30", "2258": "\uae30\uc874 \uac74\ucd95\ubb3c\u00b7\uc870\ud615\ubb3c \ubcf4\uc218\ud589\uc704", "2259": "1\ud300", "2260": "empty", "2261": "\ubb34\ud615\ubb38\ud654\uc7ac \ubcf4\uc804 \ubc0f \uc9c4\ud765\uc5d0 \uad00\ud55c \ubc95\ub960", "2262": "\uae30\uc640 \ud30c\uc190", "2263": "20", "2264": "empty", "2265": "empty", "2266": "\ud669\uae30\uc778\uc0bc\ucc28", "2267": "\uad6d\ub9bd\uad6d\uc545\uc6d0", "2268": "1\uc810 \uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2269": "4\uad8c 1\ucc45", "2270": "\uae40\uc21c\ud76c", "2271": "\uc63b\uce60", "2272": "\uc2ec\ud654\uacfc\uc815", "2273": "empty", "2274": "empty", "2275": "empty", "2276": "empty", "2277": "empty", "2278": "\uacbd\uacc4\ub97c \ub118\uc5b4\uc11c", "2279": "\ubb38\ud654\uc7ac\uc704\uc6d0\ud68c\uc6b4\uc601 \ud601\uc2e0 \u25aa \uc704\uc6d0\ud68c \uc2ec\uc758 \uacfc\uc815\uc5d0 \uc758\uacac\uc9c4\uc220 \uae30\ud68c \ubd80\uc5ec", "2280": "78\uba85", "2281": "\uc11d\uace1\ub3d9\uc8fc\ubbfc\uc13c\ud130 2\uce35 \ud68c\uc758\uc2e4", "2282": "10:00~15:00", "2283": "empty", "2284": "\uc5ed\uc0ac\ubb38\ud654\uccb4\ud5d8", "2285": "empty", "2286": "8\uc6d4\ub9e4\uc8fc \ud1a0\uc694\uc77c", "2287": "empty", "2288": "empty", "2289": "\uc6d0\ud615\uc720\uc9c0", "2290": "\uc778\ucc9c\uacf5\ud56d", "2291": "\uc911\uc559\uad6d\uc545\uad00\ud604\uc545\ub2e8", "2292": "empty", "2293": "empty", "2294": "empty", "2295": "\uc778\ucc9c \uc218\ubd09\ubbfc\uc18d\ub180\uc774\ub9c8\ub2f9", "2296": "empty", "2297": "empty", "2298": "empty", "2299": "10\ub144", "2300": "empty", "2301": "\uc138\ub124\uac08", "2302": "1\uc810 \uacbd\ub0a8\ucc3d\ub155\uad70", "2303": "empty", "2304": "empty", "2305": "\ubc18\uad6c\ub300 \uc554\uac01\ud654 \ubcf4\uc874", "2306": "1927\ub144", "2307": "\uad6d\ubc29\ud64d\ubcf4\uc6d0", "2308": "\ub3d9\uad6c \uac00\uc591\ub3d9", "2309": "\uc778\ucc9c\uc131\ub3d9\ud559\uad50-\uc911\ud559\uc0dd 15\uba85-\uace0\ub4f1\ud559\uc0dd 33\uba85", "2310": "1\uac74 9\uc810", "2311": "empty", "2312": "empty", "2313": "empty", "2314": "14:30~15:30 \ube44\ud30c\uc2e4", "2315": "empty", "2316": "2\uad8c 1\ucc45", "2317": "\uacbd\uae30\ub3c4 \ud654\uc131\uc2dc", "2318": "\ubb34\ub3d9\ub9c8\ub2f9", "2319": "empty", "2320": "20\ubb38\ud56d 30\ubd84", "2321": "empty", "2322": "\uc790\uc0dd\uc2dd\ubb3c \uc804\uc2dc\ud3ec", "2323": "empty", "2324": "empty", "2325": "empty", "2326": "\ud55c\uad6d\uc800\uc791\uad8c\uc704\uc6d0\ud68c", "2327": "empty", "2328": "1.7%", "2329": "\uc5b4\ub974\uc2e0\ubb38\ud654\ub3d9\uc544\ub9ac", "2330": "\ucc38\uace0\uc815\ubcf4\uc6d0", "2331": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2332": "empty", "2333": "empty", "2334": "\uad6d\ub9bd\ud55c\uae00\ubc15\ubb3c\uad00", "2335": "\uad6d\uc81c\uae30\uad6c \ubc0f \uad6d\uc81c\uae30\uad6c \uac00\uc785 \uae30\uad00\u00b7\ubc95\uc778\u00b7\ub2e8\uccb4 \uc8fc\ucd5c \ud68c\uc758", "2336": "empty", "2337": "\uc804\uc2dc \ubc0f \ud310\ub9e4\uc704\ud0c1 \uacc4\uc57d\uc11c", "2338": "\uc5d0\ud53c\ub370\ubbf9 (\uac10\uc5fc\ubcd1) \uc720\ud589 \uae00\ub85c\ube0c \uc6d4 \uc758\ub8cc\uc6a9 \ubd84\ub9ac\ubcbd", "2339": "\uc639\uc54c\uc2a4 \u25aa\uad6d\ub0b4 \ub300\ud45c\uc801 \ub10c\ubc84\ubc8c \ucf54\ubbf8\ub514 \uacf5\uc5f0", "2340": "\uad50\ud1b5", "2341": "9\uc6d4", "2342": "\uc5b4\ub9b0\uc774\ubc15\ubb3c\uad00", "2343": "empty", "2344": "empty", "2345": "\uc6b8\uc0b0 \uc911\uad6c", "2346": "\uc751\uc6a9\uacbd\uc601\ub300\ud559-\ubc30\uc7ac\ub300\ud559\uad50", "2347": "100\uc5b5 \uc6d0", "2348": "\ucd94\uac00 \uc811\uc885", "2349": "\ub2e4\uc591\ud55c \uc81c\ud488\uc744 \uae30\ud68d, \uc81c\uc791, \ub514\uc790\uc778\ud558\uc5ec \uc591\uc0b0\ud558\ub294 \uae30\uc5c5", "2350": "empty", "2351": "empty", "2352": "\uc790\ubc1c\uc801 \uc9c1\ubb34 \uc124\uacc4", "2353": "12.13", "2354": "\ucda9\ubd81 \uccad\uc8fc\uc2dc", "2355": "2197", "2356": "\uac00\ub0b4 \ud143\ubc2d", "2357": "10000", "2358": "empty", "2359": "\uc5b8\ub860\uc0ac \uc7ac\uc9c1 1\ub144 \uc774\uc0c1", "2360": "\ucd08\ub4f11\u223c2\ud559\ub144", "2361": "empty", "2362": "empty", "2363": "\uc0c1\ud488\uc131 \uc99d\ub300\ub97c \uc704\ud55c \ud65c\ub3d9 \uc9c0\uc6d0", "2364": "empty", "2365": "empty", "2366": "empty", "2367": "empty", "2368": "\uc804\ubd81 \uc644\uc8fc\uad70", "2369": "empty", "2370": "empty", "2371": "KTV \uc77c\ubc18 \ubc29\uc1a1\uc2dc\uc2a4\ud15c\uad6c\ucd95 17956", "2372": "empty", "2373": "\uacf5\uac1c \ub370\uc774\ud130", "2374": "empty", "2375": "\uc81c\uc8fc \uc62c\ub808\uae38 \uccb4\ud5d8", "2376": "\uad50\uc721\uccb4\ud5d8\ud615", "2377": "\uc911\uad6d", "2378": "\uc77c\ubc18\uacf5\ubaa8 \ubd80\ubb38", "2379": "empty", "2380": "2021\uc544\uc2dc\uc548\ucef52\ucc28\uc591\uad81\ub300\ud68c", "2381": "empty", "2382": "empty", "2383": "empty", "2384": "\uc720\ub124\uc2a4\ucf54 \ud55c\uad6d\uc704\uc6d0\ud68c \ubb38\ud654\ud300", "2385": "\ucd08\ub2e8\uae30 \ub178\ub3d9\uc790", "2386": "\uc9c0\ub2c8\ubba4\uc9c1, \uba5c\ub860, \ubc85\uc2a4", "2387": "empty", "2388": "\uac15\uc6d0 \ucd98\ucc9c\uc2dc", "2389": "\uc0ac\ubb34\uacf5\uac04 \ubc0f \uc6b4\uc601\ube44\uc9c0\uc6d0", "2390": "empty", "2391": "\uadfc\ub300\uc0b0\uc5c5 \ubb38\ud654\uc720\uc0b0 \ud0c4\uad11\ubb38\ud654 \uc5ec\ud589", "2392": "empty", "2393": "15\uac1c \ub0b4\uc678 \uc120\uc815, 3~5\ucc9c\ub9cc\uc6d0 \ucc28\ub4f1\uc9c0\uc6d0 10\uac1c \uc774\ub0b4", "2394": "\uacbd\ub0a8 \uae40\ud574\uc2dc", "2395": "\uc7a5\uc560\uc778 \uc62c\ub9bc\ud53d\u2024\uc544\uc2dc\uc548\uac8c\uc784 \uc885\ubaa9", "2396": "5\ubd84", "2397": "\uc628\ub77c\uc778 \ud50c\ub7ab\ud3fc \ud65c\uc6a9 \ube44\ub300\uba74 \uad50\ub958", "2398": "\uc11c\uc6b8\uc2dc\uccad", "2399": "\uad6d\ub9bd\ud604\ub300\ubbf8\uc220\uad00", "2400": "\uc544\uce74\uc774\ube0c\uc804", "2401": "\uc2ec\ud654\ud615 \ud669\ub3d9", "2402": "empty", "2403": "empty", "2404": "700\ubc31\ub9cc\uc6d0", "2405": "11.7(\ud1a0) \u00b7 \ucf58\ud150\uce20-\uc18c\ube44\uc7ac \uc5f0\uacc4 \ud55c\ub958\uacf5\uc5f0 \ucf54\ud2b8\ub77c", "2406": "\ubb34\ub300\uc640 \uac1d\uc11d \uad6c\ubd84\uc774 \uc5c6\ub294 \uacf5\uc5f0", "2407": "empty", "2408": "\ubb38\ud654\ubd80", "2409": "\uc77c\ubc18\uac8c\uc784\uc624\ud508\ub9c8\ucf13\uac8c\uc784", "2410": "empty", "2411": "\uae30\ud68d \ubd80\ubb38 \uc2dc\ub098\ub9ac\uc624", "2412": "53\uac1c", "2413": "20 ~ 50\ub9cc\uc6d0 \uc774\ub0b4", "2414": "1,000\uc5b5\uc6d0", "2415": "1\uc810", "2416": "empty", "2417": "2,457\uc5b5\uc6d0", "2418": "empty", "2419": "\uadf8\ub9b0 \ubaa8\ube4c\ub9ac\ud2f0(green mobility) \uce5c\ud658\uacbd \uc774\ub3d9 \uc218\ub2e8, \uce5c\ud658\uacbd \uad50\ud1b5\uc218\ub2e8", "2420": "\uccab \ud655\uc9c4\uc790", "2421": "\ud558\ub298 \uc0b0\ucc45\ub85c", "2422": "\ud55c \uc9c0\ubd95 \uc11c\ube44\uc2a4", "2423": "\uc11c\ucd08\uc885\ud569\uccb4\uc721\uad00", "2424": "14\uac1c\uc0ac", "2425": "empty", "2426": "empty", "2427": "26\uba85 39\uba85", "2428": "\uad50\uc721\ubd80 2 \uc5ec\ub984\ucca0 \ub179\uc870 \ub300\uc751\uc744 \uc704\ud55c \ud611\uc870 \ud658\uacbd\ubd80", "2429": "\uc6d4\uc815\uc0ac", "2430": "empty", "2431": "\uacf5\ud669 \uad6c\ub9e4", "2432": "\ube14\ub8e8\uc2a4\ud018\uc5b4\uc544\ud2b8\ud30c\ud06c", "2433": "\ucd98\ucc9c\ubb38\ud654\uc6d0", "2434": "empty", "2435": "empty", "2436": "\uc0b0\uccad \uc804\ud1b5\ud55c\ubc29\ud14c\ub9c8\ud30c\ud06c", "2437": "empty", "2438": "empty", "2439": "10%\ud560\uc778", "2440": "empty", "2441": "\ud22c\uba85\ucc3d \uac80\uc9c4", "2442": "empty", "2443": "\uad11\uc8fc", "2444": "50\ub9cc \uc7a5", "2445": "\ub3c5\ub9bdPD\ud611\ud68c", "2446": "\ubc18\ub824\ub3d9\ubb3c \uacf5\uacf5 \uc608\uc808", "2447": "empty", "2448": "\uac1c\ubd09", "2449": "\uc9c0\ubc29\ube44 \ucd94\ubaa8\uacf5\uc6d0, \uc8fc\ucc28\uc7a5 \uae30\ubd80\uae08", "2450": "\uc0ac\ub2f9\uc194\ubc2d\ub3c4\uc11c\uad00", "2451": "\uac8c\uc784 \uc6b4\uc601 \ube44\uc6a9\uc744 \uc808\uac10", "2452": "10\uc6d4", "2453": "\ud1b5\ud569 \uc8fc\ud0dd \uc81c\uc5b4\ud310", "2454": "1 \uad50\uc721\ubd80", "2455": "\uad6d\uc81c\ud68c\uc758\ubcf5\ud569\uc9c0\uad6c \ube0c\ub79c\ub4dc\ud654", "2456": "\uce68\ubc29\uc6b8", "2457": "empty", "2458": "empty", "2459": "\ud3ec\ucc9c\uc2dc", "2460": "empty", "2461": "empty", "2462": "\uc758\uc815\ubd80\uc2e4\ub0b4\ube59\uc0c1\uc7a5", "2463": "\ud55c\uad6d\uc601\ud654 \uc815\uae30 \uc0c1\uc601\ud68c", "2464": "\ub0a8\ub3c4\ubc14\ub2f7\uae38", "2465": "7\uac1c \ub0b4\uc678", "2466": "\u321c\ud50c\ub808\uc774\ud329\ud1a0\ub9ac", "2467": "empty", "2468": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc 2020 \ucf54\ub9ac\uc544\uc624\ud508\ud14c\ub2c8\uc2a4\ub300\ud68c", "2469": "\uc138\uacc4\uc2dc\uc7a5 \ubc14\uc790\ub974", "2470": "empty", "2471": "399.5", "2472": "3\uc5b5 3,200\ub9cc \ub2ec\ub7ec", "2473": "\ub300\ud55c\ubbfc\uad6d \ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2474": "2010\uff5e2013", "2475": "empty", "2476": "empty", "2477": "empty", "2478": "\ub9e8\ubc1c\uc758 \uafc8, \uc288\ud305 \ub77c\uc774\ud06c \ub808\uc804\ub4dc", "2479": "8\uc885", "2480": "\uc0bc\ub0a8\ub300\ub85c - \ub204\ub9bf\uc7ac", "2481": "empty", "2482": "empty", "2483": "\ud55c\uad6d\uc5b4\u2027\ubb38\ud654\uad50\uc721 \uae30\ubc18\uc758 \uccb4\uacc4\uc131", "2484": "\uc7ac\ub2e8 \ub610\ub294 \uc11c\uc6b8\uc5ed \uc778\uadfc", "2485": "empty", "2486": "1\ub2e8\uacc4", "2487": "\ubd81\uc2a4\ud0c0\ud2b8 \uc601\uc720\uc544, \uadf8\ub9bc\ucc45, \uac00\uc774\ub4dc \ubd81", "2488": "\ub86f\ub370\uba74\uc138\uc810", "2489": "50\uba85", "2490": "1", "2491": "empty", "2492": "empty", "2493": "empty", "2494": "\uc870\uc9c1\uc704", "2495": "empty", "2496": "empty", "2497": "\uac15\uc6d0\ubb38\ud654\uc7ac\ub2e8", "2498": "20", "2499": "2020", "2500": "empty", "2501": "1,505\ub9cc\uba85 1,733\ub9cc\uba85", "2502": "empty", "2503": "\ud575\uc2ec \uad6d\uc81c\ud68c\uc758\uae30\ud68d\uc5c5\uccb4", "2504": "30\uba85", "2505": "10\uac1c \uc774\ub0b4 \uc120\uc815, 4~13\ucc9c\ub9cc\uc6d0 \ucc28\ub4f1 \uc9c0\uc6d0", "2506": "empty", "2507": "\ub9c8\ub85c\ub2c8\uc5d0 \uacf5\uc6d0", "2508": "empty", "2509": "\uc778\ucc9c", "2510": "empty", "2511": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80", "2512": "\uc131\uc218\ub3d9 S-\ud329\ud1a0\ub9ac", "2513": "empty", "2514": "empty", "2515": "empty", "2516": "empty", "2517": "empty", "2518": "empty", "2519": "\uacbd\ub0a8\ucc3d\uc6d0", "2520": "\uc74c\ub8cc \uc57d 1,000\uac1c \ud611\ucc2c", "2521": "\ud55c\ub958 \ub300\ud45c\uc0c1\ud488\uc778 K-pop \uad00\uad11 \ud655\ub300 \ubb38\uccb4\ubd80 2019\ub144 ~ 2025\ub144", "2522": "empty", "2523": "empty", "2524": "1,505\ub9cc \uba85 1,466\ub9cc \uba85", "2525": "\ud300\ubcc4 \ub2f4\ub2f9 \uc9c0\uc5ed\uc758 \uc774\ubbf8\uc9c0\uc5d0 \ub530\ub77c \ud14c\ub9c8 \uc124\uc815 \ud300\uc720\ud615\ubcc4 \uacc4\ud68d \uc218\ub9bd", "2526": "empty", "2527": "empty", "2528": "empty", "2529": "\ud3c9\ud654\uc804\ub9dd\ub300", "2530": "\uc548\uc804\ucd1d\uad04\ucc45\uc784\uc790", "2531": "\uc735\ud569\ubb38\ud654\uacfc\ud559\uad8c", "2532": "\uc2e4\ubb34\uae09 \uc77c\ubc18\uc5f0\uc218", "2533": "\uad50\uc721\ubd80", "2534": "\ub300\ud1b5\ub839\ud45c\ucc3d", "2535": "\uc300\ubb38\ud654\ucd95\uc81c", "2536": "\uc804\ud1b5 \uccb4\ud5d8", "2537": "empty", "2538": "empty", "2539": "\uc5ec\uc131\uac00\uc871\ubd80", "2540": "empty", "2541": "\ubb38\ud654\uc6d0\uc5d0\uc11c \uc0c1\uadfc \uadc0\uad6d \ud6c4", "2542": "empty", "2543": "empty", "2544": "\uce94\ubc84\uc2a4\uc5d0 \uc544\ud06c\ub9b4\ub9ad", "2545": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2546": "\ubaa8\ubc14\uc77c \uc571", "2547": "empty", "2548": "empty", "2549": "empty", "2550": "empty", "2551": "\uc9c1\uc7a5\uc778\u2024\uc911\ub144 \u2219\uc911\uc18c\uae30\uc5c5 \ub3d9\uc544\ub9ac \uc9c0\uc6d0(30\uac1c \ud504\ub85c\uadf8\ub7a8) \uc7a5\ub144\u2024\ub178\uc778", "2552": "empty", "2553": "\ud55c\uad6d\uc720\ub098\uc774\ud2f0\ub4dc\uc81c\uc57d", "2554": "empty", "2555": "empty", "2556": "empty", "2557": "6.00", "2558": "empty", "2559": "5,794\uc885 7,166\uc885", "2560": "empty", "2561": "\ub354 \uad11\ub300", "2562": "empty", "2563": "empty", "2564": "empty", "2565": "\ud638\uc2a4\ud154\uc5c5 \uc785\uc9c0\uaddc\uc81c \uc644\ud654(2015\ub144 12\uc6d4) \ubb38\uccb4\ubd80", "2566": "\uc5f0\uad00 \uc800\uc791\ubb3c \uac80\uc0c9\uacfc \uc800\uc791\uad8c \uce68\ud574 \uc608\ubc29\uc744 \uc704\ud55c \uc608\uce21 \ud0d0\uc9c0 \uae30\uc220 \uac1c\ubc1c", "2567": "empty", "2568": "empty", "2569": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc\uad50\uc721\uccad\uc5b4\ub9b0\uc774\ub3c4\uc11c\uad00", "2570": "10\uc5b5\uc6d0 \uc815\uc561\uc9c0\uc6d0", "2571": "\ud14c\ub9c8\ud30c\ud06c \ub180\uc774\uc815\ubcf4\ub97c \uc2e4\uc2dc\uac04\uc73c\ub85c \uc218\uc9d1 \uad00\ub78c\uac1d\uc5d0 \uc815\ubcf4 \uc81c\uacf5 \ube45\ub370\uc774\ud130\ubd84\uc11d \uae30\uc220", "2572": "empty", "2573": "11\uc885\ubaa9 350\uba85", "2574": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc\uad50\uc721\uccad\uc5b4\ub9b0\uc774\ub3c4\uc11c\uad00", "2575": "20\u223c50% \ud560\uc778", "2576": "\uad6d\ub9bd\uacf5\uc6d0 \uc57c\uc601\uc7a5", "2577": "\uc81c\uc8fc\ud56d\uacf5 89% \ud560\uc778, \uc9c4\uc5d0\uc5b4 80% \ud560\uc778, \uacf5\ud56d\ucca0\ub3c4 \uc9c1\ud1b5\uc5f4\ucc28 \uc57d 19% \ud560\uc778", "2578": "\uc6d4 1\ud68c", "2579": "empty", "2580": "\ucd98\ucc9c\uc2dc\ubb38\ud654\uc7ac\ub2e8\ud55c\uad6d\ubb38\ud654\uad00\uad11\uc5f0\uad6c\uc6d0", "2581": "\uc6a9\ub450\uc0b0\uacf5\uc6d0", "2582": "\ubb38\ud559\uc774\uc815\ud45c, \ubb38\ud559\ucc44\uc9d1, \ubcf4\ubb3c\ucc3e\uae30 \uc774\ubca4\ud2b8", "2583": "\uc99d\ud3c9 \uc778\uc0bc\uace8 \ucd95\uc81c", "2584": "\uad00\uad11\ud589\uc0ac", "2585": "empty", "2586": "\uae40\ud3ec,\ud30c\uc8fc, \uc5f0\ucc9c DMZ \ud2b8\ub808\uc77c \ub7ec\ub2dd", "2587": "\uc790\uacc4\uc608\uc220\ucd0c", "2588": "20\uad00", "2589": "\uc778\ub514\uc560\ub098 \uc8fc\ub9bd\ub300\ud559\uad50", "2590": "\ud55c\uad6d\ucf58\ud150\uce20\uc9c4\ud765\uc6d0", "2591": "empty", "2592": "\uc608\uc220\uc815\ucc45 \uac70\ubc84\ub10c\uc2a4 \uc7ac\uc815\ub9bd", "2593": "\uac15\uc6d0 \ud3c9\ucc3d", "2594": "250\ubc31\ub9cc\uc6d0", "2595": "\ucc3d\uc791\uacf5\ubc29\uad00", "2596": "2\ubd80", "2597": "\uc81c\uc678\ub418\ub294 \ube44\uc6a9", "2598": "empty", "2599": "8\ud300", "2600": "\uc815\uae00\ub9cc\ub9ac", "2601": "empty", "2602": "\ub3d9\uc591\ub300 \uad50\uc218, \ubbf8\ud559\uc790 \ubbf8\ud559\uc624\ub514\uc138\uc774", "2603": "empty", "2604": "empty", "2605": "empty", "2606": "\ub300\uae08\uc5f0\uc8fc\ub2e8 \ub300\ud48d\ub958\uc545\ud68c", "2607": "\uc62c\ub204\ub9bc \ud589\ubcf5\uac00\uc871\uc13c\ud130 \uac74\ub9bd", "2608": "empty", "2609": "empty", "2610": "\ub300\ud55c\uccb4\uc721\ud68c", "2611": "5\uac1c\uad6d", "2612": "\uac00\uc871\uc13c\ud130, \ub2e4\ud568\uaed8\ub3cc\ubd04\uc13c\ud130 1550", "2613": "empty", "2614": "empty", "2615": "2533", "2616": "\uc11c\uc6b8 \uae08\ucc9c\uad6c\uad6c\ubbfc\ubb38\ud654\uccb4\uc721\uc13c\ud130", "2617": "\ub85c\ub9e8\ud2f1\ucd98\ucc9c\ud398\uc2a4\ud2f0\ubc8c", "2618": "empty", "2619": "empty", "2620": "empty", "2621": "\ub0a9\ubcf8\ub300\ud589\ud1b5\uacc4", "2622": "empty", "2623": "\uad00\uad11\ubca4\ucc98", "2624": "empty", "2625": "\uc758\ub839\ubc15\ubb3c\uad00", "2626": "\uad11\uc8fc\ubc15\ubb3c\uad00", "2627": "6\uac1c\uad6d", "2628": "\ub300\ud1b5\ub839\uc0c1", "2629": "empty", "2630": "empty", "2631": "empty", "2632": "\ucf54\uc5d1\uc2a4\ub3d9\ubb38\uad11\uc7a5", "2633": "\uc544\ub984\ub2e4\uc6b4 \uc778\uc81c\uad00\uad11 \ubb34\ub8cc\uc11c\ube44\uc2a4 \uc81c\uacf5 10. 29.~30. \uac15\uc6d0 \ud64d\ucc9c", "2634": "\uad6d\ub9bd\uacf5\uc6d0\uad00\ub9ac\uacf5\ub2e8", "2635": "73\ud300", "2636": "\uc6b8\uc0b0", "2637": "\ube0c\ub77c\uc6b4\ucef4\ud37c\ub2c8", "2638": "18\ud3b8", "2639": "\uc5f0\uadf9", "2640": "\ub0a8\ub140 \ub098\ub4e4\uc774 \ud55c\ubcf5 6\ubc8c", "2641": "20\uc5b5\uc6d0\uc774\ub0b4", "2642": "empty", "2643": "2,100 \uba85 8,000 \uba85 8,000 \uba85 500 \uba85", "2644": "empty", "2645": "empty", "2646": "empty", "2647": "\ud30c\ub77c\uc0ac\uc774\ud2b8", "2648": "empty", "2649": "\uace0\ubd80\uac00\uac00\uce58\ud654", "2650": "\uc548\uacbd\u2024\ucf58\ud0dd\ud2b8\ub80c\uc988", "2651": "empty", "2652": "\uace0\uc878 \uc774\uc0c1", "2653": "51\uac1c\uad6d", "2654": "empty", "2655": "15\uac1c \ub0b4\uc678 \uc120\uc815, 3~5\ucc9c\ub9cc\uc6d0 \ucc28\ub4f1\uc9c0\uc6d0 10\uac1c \uc774\ub0b4", "2656": "empty", "2657": "\uc694\ud2b8, \uc870\uc815, \uce74\uc57d, \uce74\ub204, \uc218\uc0c1\uc2a4\ud0a4", "2658": "\uacbd\ub0a8 \uae40\ud574", "2659": "\ud55c\uad6d\ucf58\ud150\uce20\uc9c4\ud765\uc6d0", "2660": "empty", "2661": "\uc77c\uac04\uc2e0\ubb38", "2662": "\uc5d0\uc138\uc774 \uc4f0\uae30", "2663": "\uc870\ubbf8\uae40", "2664": "2\ub144\uac70\uce58 2\ub144 \ubd84\ud560\uc0c1\ud658", "2665": "empty", "2666": "empty", "2667": "empty", "2668": "\uc544\uc2dc\uc544\uc804\ud1b5\ubcf5\ud328\uc158\uc1fc", "2669": "4\ubd84", "2670": "1\uc5b5\uc6d0", "2671": "1.6%", "2672": "\uac15\ub0a8\ucd95\uad6c\uacf5\uc6d01\uad6c\uc7a5", "2673": "\ub300\ud559\ubc15\ubb3c\uad00 \ud0d0\ubc29 \ucd1d 1\ud68c", "2674": "85000", "2675": "empty", "2676": "\uac74\uac15\uc0c1\uc758 \uc774\uc720", "2677": "\uc5ec\ud589\uc8fc\uac04 \uae30\ub150\uc2e0\uaddc\ucd9c\uc2dc", "2678": "180,000\ucc9c\uc6d0", "2679": "empty", "2680": "\uc720\uc5f0\uc131", "2681": "\ud55c\uad6d\ubc29\uc1a1\uad11\uace0\uc9c4\ud765\uacf5\uc0ac \uc2ec\ud50c\ud06c\ub9ac\uc5d0\uc774\ud2f0\ube0c \ud6a8\ub294 \ub9d0 \ud55c\ub9c8\ub514", "2682": "empty", "2683": "empty", "2684": "\ub3c5\uc77c \ub258\ub978\ubca0\ub974\ud06c", "2685": "1\uba85", "2686": "empty", "2687": "empty", "2688": "empty", "2689": "6,000\uc6d0", "2690": "\ub300\uad6c\uad11\uc5ed\uc2dc\uad00\uad11\uacfc", "2691": "empty", "2692": "9\uc6d4 4\uc77c(\ud654) 16:00\uff5e17:10", "2693": "\uc774\ub7ec\ub2dd/\uc774\ubd81", "2694": "1\uc8fc", "2695": "\uc120\uad50\uc7a5", "2696": "empty", "2697": "106\uba85", "2698": "14:30-15:00", "2699": "\uccad\uc8fc \uc81c\uc8fc", "2700": "\uc5d0\uc2a4\uc5d0\uc774\uce58\ub124\uc2a4\ud06c", "2701": "empty", "2702": "\uc5f0\uc2b5\uacf5\uac04", "2703": "\uc0ac\ud68c\uacfc\ud559", "2704": "120\ud68c", "2705": "\uc557\ud53c \ub9ac\uc870\ud2b8", "2706": "empty", "2707": "\ubb38\uccb4\ubd80\uc7a5\uad00, \uc9c0\uc790\uccb4\uc7a5", "2708": "\ub85c\ubcf4\uce74\ud3f4\ub9ac\u321c\ub85c\uc774\ube44\uc96c\uc5bc", "2709": "\uc6b0\ub9ac\uc740\ud589 \uba85\ub3d9\uae08\uc735\uc13c\ud130 \uc55e", "2710": "\uad6d\ub0b4\uc678 \uc791\uace1\uac00\uac00 \ud568\uaed8\ud558\ub294 \ud611\uc5c5 \uc6cc\ud06c\uc20d", "2711": "empty", "2712": "empty", "2713": "\uc790\ub3d9\ucc28\uc804\uc6a9\ub3c4\ub85c \uc778\uadfc \uc624\uc5fc\uc5d0 \uac15\ud55c \uc218\uc885", "2714": "empty", "2715": "empty", "2716": "empty", "2717": "300 300", "2718": "empty", "2719": "60\ubd84~120\ubd84 100~150\uba85", "2720": "empty", "2721": "empty", "2722": "empty", "2723": "\ucd08\ub4f1\ud559\uad50 4-6\ud559\ub144\uc5d0 \ud574\ub2f9\ud558\ub294 \uc5b4\ub9b0\uc774", "2724": "\ub2f4\uc591\ubb38\ud654\uc6d0", "2725": "6\uac1c", "2726": "empty", "2727": "\ubb38\ud654\uad00\ub828 \uc804\uacf5\uc790", "2728": "empty", "2729": "empty", "2730": "\uc90c\ub9c8\ub124 2015 \ub784\ub784\ub77c, \uc0b0\ucc45\ud558\ub294 \uc544\uc90c\ub9c8\ubb38\ud654\ud559\uad50", "2731": "\ub0b4\uad6d\uc778 \uc219\uc2dd \ud5c8\uc6a9 \u25b6\u300c\uad00\uad11\uc9c4\ud765\ubc95 \uc2dc\ud589\ub839\u300d\uac1c\uc815", "2732": "100\uba85", "2733": "empty", "2734": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2735": "empty", "2736": "1\uc5b5 5,696\ub9cc \uba85", "2737": "200\ub9cc\uba85", "2738": "\ubb38\ud654\uccb4\uc721\uc790\uc6d0\ubd09\uc0ac\uc18c\uac1c", "2739": "empty", "2740": "empty", "2741": "180\uc5ec\uba85", "2742": "\uad6d\ub9bd \ucd98\ucc9c\ubc15\ubb3c\uad00", "2743": "\uc5b4\ub9b0\uc774 \ubba4\uc9c0\uceec\uad6c\ub984\ube75", "2744": "326", "2745": "\uc6b0\ub9ac\ub9d0 \ub2e4\uc2dc \ubcf4\uae30(\ubbf8\uc815) \uad6d\ub9bd\uc5b4\ub9b0\uc774\uccad\uc18c\ub144\ub3c4\uc11c\uad00", "2746": "\uc6b0\ub9ac\ubbfc\uc18d\ud55c\ub9c8\ub2f9\uc0c1\uc124\uacf5\uc5f0", "2747": "empty", "2748": "\u321c\ud050\ub9ac\uc5b4\uc2ac\ub9ac", "2749": "\uc9c0\uc0c1 9\uce35", "2750": "\uc544\uc2dc\uc544\ucc3d\uc791\uc2a4\ud29c\ub514\uc624", "2751": "empty", "2752": "\uc74d\u00b7\uba74\u00b7\ub3d9", "2753": "empty", "2754": "empty", "2755": "empty", "2756": "empty", "2757": "\uc804\ub0a8 \uc5ec\uc218\uc2dc \uc624\ub3d9\uc7ac", "2758": "empty", "2759": "empty", "2760": "empty", "2761": "\uac15\uc6d0\ub3c4", "2762": "\ubabd\ud0c4\uc5ed \uafc8\uafb8\ub294 \uac04\uc774\uc5ed\uc73c\ub85c\uc758 \ucd08\ub300", "2763": "1", "2764": "5\uc6d4", "2765": "empty", "2766": "empty", "2767": "empty", "2768": "empty", "2769": "\uc5b4\ub9b0\uc774 \ucc38\uc5ec\uacf5\uc5f0 \uc81c\uc791", "2770": "13080", "2771": "empty", "2772": "\uc6b0\uc988\ubca0\ud0a4\uc2a4\ud0c4", "2773": "empty", "2774": "35\ub144", "2775": "empty", "2776": "\ubc95\u0387\uc81c\ub3c4 \uc815\ube44", "2777": "\uadf9\ub2e8 Art-3 Theater", "2778": "empty", "2779": "AA \ub514\uc790\uc778\ubba4\uc9c0\uc5c4", "2780": "1,100\uac1c\uad00", "2781": "\uad11\ud654\ubb38 \uc2dc\ubbfc \uc5f4\ub9b0\ub9c8\ub2f9", "2782": "\uac24\ub7ec\ub9ac, \ucf5c\ub809\uc158 \uc911\uc2ec\uc758 \uc720\ud1b5\uccb4\uacc4 \uacf5\uc608\uc0c1\ud488 \ubb38\ud654\uc0c1\ud488", "2783": "\ud14c\uc77c\uc988\ub7f0\ub108", "2784": "\uc778\ub3c4 \uc778\uc801\uc790\uc6d0\uac1c\ubc1c\ubd80 \uc18c\uc18d \uad6d\ub9bd\ubb38\ub9f9\ud1f4\uce58\uad6d\uc758 \ud504\ub85c\uadf8\ub7a8", "2785": "empty", "2786": "empty", "2787": "10\ubd84", "2788": "empty", "2789": "\uc778\ucc9c\ubb38\ud654\uc7ac\ub2e8", "2790": "\uc77c\uc0c1\uc18d \ubcf4\ubb3c\uc744 \uc8fc\uc81c\ub85c \uc5f0\uadf9\uacfc \uc601\ud654 \ub9cc\ub4e4\uae30 \ub180\ub780 \uc7a0\uc218\ud568", "2791": "\uc778\ub3c4\ub124\uc2dc\uc544 \uc9c0\uc5ed\uad50\uc721\uac1c\ubc1c\uad6d", "2792": "\uc804\uad6d \uad50\uc815\uc2dc\uc124, \uad6c\uce58\uc18c, \ubcf4\ud638\uad00\ucd2c\uc18c, \uc18c\ub144\uc6d0", "2793": "empty", "2794": "empty", "2795": "empty", "2796": "empty", "2797": "empty", "2798": "empty", "2799": "\ud604\uc2e4\uc801\uc774\uace0 \ud0c0\ub2f9\ud55c\uac00? 30", "2800": "\uc804\ub0a8 \ub0a8\ud3ec \ubbf8\uc220\uad00 9\uc6d4 \uacbd\ub0a8 \uace0\uc131 \ud558\uc77c\ucd08\ub4f1\ud559\uad50", "2801": "empty", "2802": "\ud6c4\ub808\uc815\ubcf4\ud1b5\uc2e0\ub300\ud559\uad50 \ud55c\uad6d\uc5b4\ubb38\ud654\uad50\uc721\uc6d0", "2803": "empty", "2804": "\ud55c\uad6d\ubb38\ud654\uad00\uad11\uc5f0\uad6c\uc6d04\uac1c \ubb38\ud654\uc7ac\ub2e8 80\uba85", "2805": "\ud55c\uad6d\uac74\ucd95\uac00\ud611\ud68c", "2806": "900\uba85", "2807": "1 \uc9c0\uc6d0 \ud544\uc694\uc131", "2808": "empty", "2809": "empty", "2810": "\ub300\ud45c\uc120\uc218\uc758 \uad00\ub9ac", "2811": "\uc6b0\ud3c9\ub9c8\uc744\uad7f \ucd95\uc804", "2812": "empty", "2813": "empty", "2814": "empty", "2815": "empty", "2816": "\ub18d\ud611\ub300\ud559\uad50", "2817": "\uc77c\ubcf8", "2818": "\ud544\ub4dc\ud2b8\ub9bd", "2819": "28\uba85", "2820": "empty", "2821": "6\uc8fc", "2822": "empty", "2823": "10,000\uc6d0", "2824": "70\uc5ec \uac1c", "2825": "empty", "2826": "empty", "2827": "5\ub144\uc774\ud558 \uc9d5\uc5ed\uc774\ub098 5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "2828": "10\uc6d4 15\uc77c", "2829": "\uc608\uc220\uc778\ubcf5\uc9c0\ubc95 \uc2dc\ud589", "2830": "empty", "2831": "\ud1b5\ud569\uad50\uc721", "2832": "\uc9c0\uc5ed \uacf5\uac04", "2833": "\uc9c0\uba74\uc778\uc1c4", "2834": "empty", "2835": "empty", "2836": "empty", "2837": "\uad6d\uc81c\uad50\ub958, \uc8fc\uc694 \ud574\uc678\uae30\uad00 \uc5c5\ubb34\ud611\uc57d, \uad6d\uc81c \uc138\ubbf8\ub098", "2838": "\uc5f0\ud76c\ub09c\uc7a5", "2839": "empty", "2840": "empty", "2841": "empty", "2842": "\uc11c\uc6b8", "2843": "empty", "2844": "empty", "2845": "\ud640\ub85c\uadf8\ub7a8 \ube5b\uc744 \uc774\uc6a9\ud558\uc5ec \uc2e4\ubb3c\uacfc \ub611\uac19\uc740 \uc785\uccb4\uc601\uc0c1\uc744 \uad6c\ud604\ud558\ub294 \uae30\uc220", "2846": "empty", "2847": "74", "2848": "empty", "2849": "\ub0a8\uc0ac\ub2f9 5\uba85 10\ubd84", "2850": "\uce74\uba54\ub77c\uc5d0 \ub2f4\ub294 \ud55c\ubcf5", "2851": "\ubc18\uc57c\uc6d4 \uc0c8\uc2f9 \ubb38\uace0", "2852": "\uc601\uc885\ub3c4\uc11c\uad00", "2853": "empty", "2854": "empty", "2855": "\ub3c4\uc11c\uad00 \uc138\ubbf8\ub098\uc2e4 \ubbf8\ucde8\ud559\uc544\ub3d9\ucd08\ub4f1\uc800\ud559\ub144 \uc911\uad6c \uc911\uc559\ub3c4\uc11c\uad00", "2856": "empty", "2857": "\ubb38\ud654\uc0ac\ub791\ubc29", "2858": "26", "2859": "empty", "2860": "empty", "2861": "\uacac\uace0\uc131, \uc548\uc804\uc0ac\uace0 \uc704\ud5d8\uc774 \uc5c6\ub294 \uc791\uc5c5", "2862": "5%", "2863": "empty", "2864": "empty", "2865": "empty", "2866": "24\uc810 \uc774\ud558", "2867": "1.7 \uc57d 62\ub9cc \uba85", "2868": "empty", "2869": "\uad70\uc778(\uac04\ubd80) \uad50\uc0ac \uacf5\ubb34\uc6d0 \uc5b8\ub860\uc778 \ucd9c\ud310\uc778", "2870": "\uad00\ub0b4 \ucd08\u2024\uc911\u2024\uace0\ub4f1\ud559\uc0dd", "2871": "\ub3c4\uc11c\uad00 \uc138\ubbf8\ub098\uc2e4", "2872": "\uae30\uc801\uc758\ub3c4\uc11c\uad00 \uac15\ub2f9 \ucd08\ub4f1 3-4\ud559\ub144 \uc81c\uc8fc\uae30\uc801\uc758\ub3c4\uc11c\uad00", "2873": "empty", "2874": "\ubbf8\uad6d", "2875": "\uc9c0\uc5ed\ubb38\ud654 \ud65c\uc131\ud654 \u2023 \uc9c0\uc5ed\ubb38\ud654 \ud65c\uc131\ud654\ub97c \uc704\ud574 \uc9c0\uc5ed\uc744 \uc21c\ud68c \uac1c\ucd5c", "2876": "\ubd80\uc0b0 BEXCO", "2877": "\uc601\ub4f1\ub3c4\uc11c\uad00 \uc77c\ubc18\uc2dc\ubbfc \uc775\uc0b0\uc2dc\ub9bd\ub3c4\uc11c\uad00", "2878": "2700\ub9cc \uba85", "2879": "\ubcf4\uc790\ub974 \ud640\ud0c0 \ud640", "2880": "empty", "2881": "\uc131\uade0\uad00\ub300\ud559\uad50\ucd9c\ud310\ubd80", "2882": "\uacbd\uc778\ubb38\ud654\uc0ac", "2883": "empty", "2884": "\uc5ec\uc131\uac00\uc871\ubd80", "2885": "\uc758\uc131\uc5ec\uc790\uace0\ub4f1\ud559\uad50", "2886": "1 \uc885\uad50", "2887": "\uc5b8\uc5b4\uc758 \uc608\uc220 \uc774\uc11d\uaddc \uae00\ub204\ub9bc \ucd9c\ud310\uc0ac 8", "2888": "empty", "2889": "empty", "2890": "\uc774\ud604\uc138\uc758 \ubc84\ub514", "2891": "\uc0dd\ud0dc\ud559\uc2b5\uad6c\uc5ed", "2892": "empty", "2893": "85\u00d763", "2894": "6\uc6d4 30\uc77c", "2895": "\ud574\uc678\uc1fc\ucf00\uc774\uc2a4 \uac1c\ucd5c \uad00\ub828 \ud56d\uacf5\ub8cc \ubc0f \uccb4\uc7ac\ube44 \uc9c0\uc6d0", "2896": "empty", "2897": "empty", "2898": "empty", "2899": "empty", "2900": "\u2219\ucc3d\uc758\uc801 \uc9c0\uc2dd\uacfc \uc544\uc774\ub514\uc5b4\uac00 \ubd80\uac00\uac00\uce58\ub97c \ucc3d\ucd9c\ud558\ub294 \uc2dc\ub300\ub85c \ubcc0\ud654", "2901": "\ub178\ub798\uc5f0\uc2b5\uc7a5\uc5c5", "2902": "empty", "2903": "\uad6d\ubbfc\uc548\uc804", "2904": "\uc758\uc815\ubd80\uace0\uc6a9\uc13c\ud130", "2905": "empty", "2906": "empty", "2907": "empty", "2908": "\uc11c\uc6b8\u00b7\uc624\uc1a1\uccad\uc0ac \uc6b4\uc601", "2909": "empty", "2910": "\uc11c\uc6b8\uc2dc\uccad \uc11c\uc18c\ubb38\ubcc4\uad00 \ud6c4\uc0dd\ub3d9 \uac15\ub2f9", "2911": "empty", "2912": "10\uba85", "2913": "26%", "2914": "\ub3d9\uc591\ub3d9, \uc791\uc804\ub3d9", "2915": "empty", "2916": "empty", "2917": "\uc548\uba74 \uc7a5\uc560\uc778\uc815", "2918": "\ubc14\uc774\uc624 \ucf54\ub9ac\uc544 \uc720\uacf5\uc790 \ud3ec\uc0c1\uc2dd", "2919": "30", "2920": "empty", "2921": "empty", "2922": "0-5\uac1c\uc6d4", "2923": "\uc81c\uc8fc", "2924": "36\ub9cc 9033\uba85", "2925": "\uc11c\ub300\ubb38\uad6c\ub9c8", "2926": "\uc5ec\ub9b0X\uc99d\ud6c4\uad70", "2927": "126\uc885", "2928": "empty", "2929": "empty", "2930": "empty", "2931": "empty", "2932": "\uc7ac\ub09c, \uc751\uae09\uad6c\uc870 \ucf54\ub108- \uc5ed\uc0ac\uc9c0\ud0b4\uc774 \ucf54\ub108 \ub4f1 - \uac1c\ub9c9\uc2dd", "2933": "\ub300\uad6c\uad11\uc5ed\uc2dc", "2934": "empty", "2935": "empty", "2936": "19:00\u223c19:25", "2937": "8\ud68c", "2938": "\ub18d\ucd0c\uc9c4\ud765\uccad \ud568\uaed8\ub77c\uc11c \ud589\ubcf5\ud55c \uc6b0\ub9ac\ub3d9\ub124 \ub9c8\uc744\uc815\uc6d0", "2939": "18\uc791\ud488", "2940": "10", "2941": "empty", "2942": "\uacbd\uae30\ubd81\ubd80", "2943": "KOTRA", "2944": "\ud604\uc7a5 \ucd9c\ub3d9", "2945": "\uad6d\ubbfc\uac74\uac15\ubcf4\ud5d8\ubc95\ub839 \uac1c\uc815", "2946": "empty", "2947": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc", "2948": "\uc678\uad50\ubd80", "2949": "100\ub9cc \uc6d0 119\ub9cc \uc6d0", "2950": "\uc790\uc5f0\uc7ac\ud574, \ub0b4\ub780\u2024\uc804\uc7c1", "2951": "2\uac1c\uc6d4 \uc804", "2952": "empty", "2953": "25\uac1c\uad6d", "2954": "empty", "2955": "empty", "2956": "empty", "2957": "20\ub9cc 9960\uc6d0 121\ub9cc \uc6d0 \uc774\uc0c1\u223c123\ub9cc \uc6d0 \ubbf8\ub9cc 10\ub9cc \uc6d0", "2958": "128\uac1c", "2959": "empty", "2960": "empty", "2961": "2011", "2962": "empty", "2963": "empty", "2964": "empty", "2965": "empty", "2966": "empty", "2967": "empty", "2968": "\ub274\uce7c\ub808\ub3c4\ub2c8\uc544, \uc0ac\ubaa8\uc544, \uc194\ub85c\ubaac\uc81c\ub3c4, \ud53c\uc9c0", "2969": "empty", "2970": "empty", "2971": "empty", "2972": "empty", "2973": "\uc624\uc0b0\uc2dc \uc794\ub2e4\ub9ac\ub9c8\uc744", "2974": "empty", "2975": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2976": "\ub300\uc804\uc2dc", "2977": "empty", "2978": "4 4", "2979": "empty", "2980": "\uc0bc\uc131SDS, LG CNS, SK C&C \ub4f1", "2981": "\uc548\uce58\uc2e4, \uc5fc\uc2b5\uc2e4", "2982": "\ub0a8\ubb38\ub85c\ub370\uc624\uac70\ub9ac", "2983": "\uc790\uaca9\ucde8\uc18c", "2984": "\uc7a0\ubcf5\uae30 \u25ab20~48\uc2dc\uac04", "2985": "empty", "2986": "UCC", "2987": "\ubc14\uc6b0\ucc98", "2988": "\uc5ec\uc131\ubcf4\uac74\ud734\uac00\uac1c\ud3b8", "2989": "empty", "2990": "empty", "2991": "\uc81c\uc8fc(1\uac1c/1\uac1c\uc2dc) \uc11c\ubb38\uc2dc\uc7a5", "2992": "empty", "2993": "\uc5f4\ubd80\uc885", "2994": "empty", "2995": "empty", "2996": "1 \uac15\uc6d0\ub3c4", "2997": "empty", "2998": "empty", "2999": "800\uc6d0", "3000": "empty", "3001": "\uacbd\uae30 \ub0a8\uc591\uc8fc\uc2dc", "3002": "25", "3003": "empty", "3004": "\uc0ac\ud68c\ubcf5\uc9c0", "3005": "\uc601\uad6d \uae08\uc9c0 \ud5c8\uc6a9 \ud5c8\uc6a9 \ubbf8\uad6d", "3006": "1\uba85, 150\ub9cc \uc6d0", "3007": "\uc8fc\ubbfc\uc138", "3008": "empty", "3009": "\uc6d0\uc790\ub825/\ud575\uc735\ud569 \uc6d0\uc790\ub825", "3010": "empty", "3011": "5\ub9cc\uc6d0 \uc774\ud558", "3012": "\uc5f4\uc2e4\uc2e0", "3013": "4\ub9cc1000 \uac00\uad6c", "3014": "\uc5ec\uac00\ubd80", "3015": "1 6892", "3016": "\uc778\uad6c\uc5ec\uc131\uc815\ucc45\ud300", "3017": "empty", "3018": "\uc7a5\uc560\uc778\uc815\ucc45\ud300", "3019": "2", "3020": "60%", "3021": "7\ucc9c\ub9cc\uc6d0 \uc774\ud558", "3022": "empty", "3023": "empty", "3024": "empty", "3025": "\ud55c\uad6d\uc7a5\uc560\uc778\uace0\uc6a9\uacf5\ub2e8", "3026": "2015\ub144 \uc774\ud6c4 \ud658\uc790\ubc1c\uc0dd \uad6d\uac00\ub85c \ud655\ub300", "3027": "21\uc778 \uc774\uc0c1", "3028": "\uc559\uace8\ub77c", "3029": "empty", "3030": "empty", "3031": "empty", "3032": "empty", "3033": "empty", "3034": "\ubaa9\ud654\uc5b4\ub9b0\uc774\uc9d1 \uad6d\uacf5\ub9bd\ubcf4\uc721 21\uc778 \uc774\uc0c1", "3035": "empty", "3036": "15\uba85", "3037": "\uc0c1\ub2f4\uc0ac\uc5c5", "3038": "30\ub9cc \uc6d0", "3039": "empty", "3040": "119\ub9cc \uc6d0 121\ub9cc \uc6d0", "3041": "empty", "3042": "\uc2e0\uc815\ub3d9", "3043": "\uc2a4\ub9c8\ud2b8\ud0dd\ubc30", "3044": "\ud589\ubcf5\ud50c\ub7ec\uc2a4\ubd09\uc0ac\ud074\ub7fd", "3045": "25\uac1c \ud488\ubaa9", "3046": "empty", "3047": "empty", "3048": "empty", "3049": "50\uc810", "3050": "40\ub9cc\uc6d0", "3051": "\uac00\uc815\ubcf4\uc721 21\uc778 \ubbf8\ub9cc", "3052": "empty", "3053": "\uc9c1\uc7a5\ubcf4\uc721 21\uc778 \uc774\uc0c1", "3054": "empty", "3055": "empty", "3056": "empty", "3057": "\uc544\uc8fc\ub300", "3058": "empty", "3059": "\uad11\uc5ed\ubb38\ud654\ub3c4\uc2dc \uc870\uc131 \uc6b0\uc120 \uc9c0\uc6d0", "3060": "\uad6d\uacf5\ub9bd\ubcf4\uc721 21\uc778 \uc774\uc0c1", "3061": "60\uba852\uae30", "3062": "118", "3063": "empty", "3064": "\uc1a1\ud559\ucd08\ub4f1\ud559\uad50", "3065": "empty", "3066": "empty", "3067": "3.5\uc870\uc6d0", "3068": "\uac80\ub2e8\uc5b4\ub9b0\uc774\uc9d1", "3069": "\uc5e0\ub9c8\ub204\uc5d8\uc7a5\uc560\uc804\ub2f4\uc5b4\ub9b0\uc774\uc9d1", "3070": "20\ub9cc 4,010\uc6d0", "3071": "\uc11c\uc6b8 \uac15\ub0a8\uad6c", "3072": "empty", "3073": "empty", "3074": "\uc804\ub0a8 \ub2f4\uc591", "3075": "21\uc778 \uc774\uc0c1", "3076": "\ud574\uc591\uacbd\ucc30\uccad", "3077": "5\ub144 \ubc8c\uae08\ud615 \uc120\uace0 \ud6c4 5\ub144", "3078": "21\uc778 \uc774\uc0c1", "3079": "empty", "3080": "248", "3081": "\uc2dc\uad70\uad6c \uc74d\uba74\ub3d9 249", "3082": "2,000\ub9cc\ubd88", "3083": "\uacbd\uae30\ub3c4 \ub3d9\ub450\ucc9c\uc2dc, \uac15\uc6d0\ub3c4 \uc601\uc6d4\uad70, \ucda9\uccad\ub0a8\ub3c4 \uccad\uc591\uad70", "3084": "\uc804\ub77c\ubd81\ub3c4 \uc644\uc8fc\uad70", "3085": "4\ub2e8\uacc4 \uc0ac\uc801\ubaa8\uc784 \u25aa\uc81c\ud55c \uc5c6\uc74c \u25aa8\uc778\uae4c\uc9c0 \ud5c8\uc6a9", "3086": "empty", "3087": "empty", "3088": "21\uc778 \uc774\uc0c1", "3089": "281", "3090": "empty", "3091": "empty", "3092": "21\uc778 \uc774\uc0c1", "3093": "empty", "3094": "296", "3095": "\ub4f1\ub85d\ucde8\uc18c", "3096": "299", "3097": "\ud3ec\uc2a4\ucf54", "3098": "empty", "3099": "empty", "3100": "\uc870\uc815\ub300\uc0c1\uc9c0\uc5ed \ud604\ud589 (\uc804\ub9e4) \uc18c\uc720\uad8c \uc774\uc804\ub4f1\uae30\uc2dc\uae4c\uc9c0", "3101": "314", "3102": "21\uc778 \uc774\uc0c1", "3103": "empty", "3104": "empty", "3105": "empty", "3106": "16:25 \ud589\uc0ac\uc7a5 \uc774\ub3d9", "3107": "\uc678\uad6d\ub3c4\uc2dc \uacf5\ubb34\uc6d0 \uc5f0\uc218", "3108": "\uc131\uc218\uba74", "3109": "\uc784\uc2dc \uc2b9\uc778", "3110": "empty", "3111": "empty", "3112": "empty", "3113": "34\uac74", "3114": "empty", "3115": "21\uc778 \uc774\uc0c1", "3116": "368", "3117": "empty", "3118": "\uc804\ub0a8 295 46 15.6", "3119": "empty", "3120": "empty", "3121": "empty", "3122": "CJ\ub300\ud55c\ud1b5\uc6b4", "3123": "21\uc778 \uc774\uc0c1", "3124": "21\uc778 \uc774\uc0c1", "3125": "empty", "3126": "1\uc885", "3127": "21\uc778 \uc774\uc0c1", "3128": "empty", "3129": "empty", "3130": "empty", "3131": "1\ucc28", "3132": "empty", "3133": "empty", "3134": "empty", "3135": "empty", "3136": "empty", "3137": "empty", "3138": "29.75\uff5e36.76\uc77c", "3139": "\ud55c\uad6d, \ub9d0\ub808\uc774 \ud544\ub9ac\ud540, \ub77c\uc624\uc2a4", "3140": "UN\uac00\uc785 \ucd94\uc9c4 \uc804\uad6d\uc704\uc6d0\ud68c \uacb0\uc131\uc2dd", "3141": "empty", "3142": "\uc81c\uc791\u00b7\uc218\uc785\u00b7\ubcf5\uc81c\ud55c \uc790", "3143": "1\uce35(179\u33a1) \uc0c1\ubc29 \uacbd\ub85c\ub2f9", "3144": "3~6\uc77c", "3145": "\ub300\ud1b5\ub839\ud45c\ucc3d", "3146": "empty", "3147": "empty", "3148": "\ubaa8\ub780\ubbfc\uc18d5\uc77c\uc7a5", "3149": "7\uac74", "3150": "50%", "3151": "empty", "3152": "1\ud68c 0.5\uc810, 2\ud68c \uc774\uc0c1 1.0\uc810", "3153": "empty", "3154": "empty", "3155": "\u2219\uc5f0\uba85\uc758\ub8cc\uacc4\ud68d\uc11c", "3156": "1\ucc28 : \uacbd\uace02\ucc28 : \uc9c0\uc815\ucde8\uc18c", "3157": "empty", "3158": "6\uac1c", "3159": "\uac00\uc871 \ubc0f \ud1b5\ud569\uc9c0\uc6d0 \uc11c\ube44\uc2a4", "3160": "\uc911\ud658\uc790\uc2e4", "3161": "26 \ub300\uad6c \ub0a8\uad6c", "3162": "empty", "3163": "\ubc1c\ub2ec\uc7a5\uc560\uc778\uc744 \uc9c4\ub8cc\ud558\ub294 \uc804\ubb38\uc758 3\uba85 \uc774\uc0c1", "3164": "\uac00\uc871 \ubc0f \ud1b5\ud569\uc9c0\uc6d0 \uc11c\ube44\uc2a4", "3165": "\ud1a0\ucf08\ub77c\uc6b0", "3166": "\ubcf4\uac74\ubcf5\uc9c0\ubd80 \uad50\uc721\uacfc\ud559\uae30\uc220\ubd80", "3167": "empty", "3168": "empty", "3169": "\uc2e4\ubb34\ud615 \uad50\uc721", "3170": "empty", "3171": "empty", "3172": "\uc11c\ub958\ud568\uae30\ubc95 \uacfc\uc7a5\uc758 \uc77c\uc0c1\uc801\uc778 \uc5ec\ub7ec \uc5c5\ubb34\ub97c \ucc98\ub9ac\ud558\ub294 \uc0c1\ud669", "3173": "empty", "3174": "2017\ub144", "3175": "empty", "3176": "1\uac1c \ub300\ud559", "3177": "1\ub144", "3178": "\uc2dc\u00b7\ub3c4\uc758\ud68c \uc778\uc0ac\uad8c\ub3c5\ub9bd", "3179": "\uc2e0\uaddc\uc784\uc6a9\uc2dc\ud5d8\uac1c\ud3b8", "3180": "\uc6d4 30\ub9cc\uc6d0", "3181": "5\uac1c\uc6d4 \uc774\ub0b4", "3182": "10\ub9cc\uba85\ubd84", "3183": "14\ub9cc\uba85", "3184": "5\ubcd1\uc0c1 \uc774\uc0c1", "3185": "\uc0dd\ud65c\uc758 \uafc0\ud301", "3186": "\ub274\uc695", "3187": "empty", "3188": "\uc6b4\uc601\uc815\uc9c0\u2027\ud3d0\uc1c4", "3189": "empty", "3190": "empty", "3191": "\uc11c\ub300\ubb38\uad6c\ub300\ud604\uacf5\uc6d0", "3192": "empty", "3193": "\uace8\uc218\ub9d0\ucd08\ud608\uc81c\ub300\ud608", "3194": "empty", "3195": "1\uc2dc\uac04 \ucd08\uacfc\uc2dc 30\ubd84\ub9c8\ub2e4 1,000\uc6d0", "3196": "\ubaa9\ud3ec\ud55c\uad6d\ubcd1\uc6d0", "3197": "\uc2e0\uacbd\uacfc", "3198": "empty", "3199": "\uad11\uc8fc \uae40\ub300\uc911\ucee8\ubca4\uc158\uc13c\ud130", "3200": "empty", "3201": "200\ub9cc \uc6d0 \ubcf4\uac74\ubcf5\uc9c0\ubd80 \uc7a5\uad00\uc0c1", "3202": "empty", "3203": "empty", "3204": "empty", "3205": "\uc0dd\ud65c\uacf5\uac04\uc815\ucc45\uacfc", "3206": "\ubaa9\ub3c8 \ub9c8\ub828", "3207": "empty", "3208": "\ubcd1\ubb34\uccad", "3209": "empty", "3210": "\uc0bc\uc131\uc5d0\uc2a4\ub514\uc544\uc774", "3211": "HCV\uc5d0 \uc624\uc5fc\ub41c \ud608\uc561\uc774\ub098 \uae30\uad6c \ub4f1", "3212": "\ubcf5\uc9c0\ubd80\uc7a5\uad00\uc0c1 \ucd5c\uc6b0\uc218", "3213": "\ub819\ud1a0\uc2a4\ud53c\ub77c\uc99d", "3214": "empty", "3215": "\ud1b5\uc77c\ubd80", "3216": "empty", "3217": "empty", "3218": "empty", "3219": "empty", "3220": "empty", "3221": "empty", "3222": "\ud504\ub791\uc2a4", "3223": "empty", "3224": "empty", "3225": "empty", "3226": "empty", "3227": "\uc5f0\ub839\uc81c\ud55c \uc5c6\uc74c. \ub3c5\uc77c \uad6d\uc801, \uc815\uce58 \ub9dd\uba85\uc790\ubc95\uc801\uc73c\ub85c \uc81c\ud55c\ud55c \uc77c\ubd80 \uc678\uad6d\uc778", "3228": "empty", "3229": "\ud604\uc9c0 \uc0c1\ud669 \ubaa8\ub2c8\ud130\ub9c1", "3230": "empty", "3231": "empty", "3232": "\uba74\ubd09\ubc95", "3233": "15\uc778 \uc774\uc0c1", "3234": "90\ub9cc\uba85", "3235": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80\uc0dd\ubb3c\uc790\uc6d0\uc740\ud589\uacfc", "3236": "empty", "3237": "\uc7a5\uc560\uc778 \uc0ac\ub9dd\uc2dc\ud3c9\uade0\uc5f0\ub839", "3238": "\ub18d\ucd0c\uc9c4\ud765\uccad", "3239": "empty", "3240": "\uc11c\uc6b8", "3241": "\uacbd\ud76c\ub300\ud559\uad50\ud55c\ubc29\ubcd1\uc6d0", "3242": "empty", "3243": "empty", "3244": "empty", "3245": "\uad6d\ubb34\ucd1d\ub9ac\uc0c1 \uc6b8\uc0b0\uad11\uc5ed\uc2dc\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc11c\ucd08\uad6c", "3246": "\ub9e4\uc6d4 10\ub9cc \uc6d0", "3247": "empty", "3248": "10.9%", "3249": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "3250": "\ub300\ud1b5\ub839\ud45c\ucc3d", "3251": "3\ub144 \uc774\uc0c1", "3252": "\ub300\ucd9c \uc815\ubcf4", "3253": "1\ub144\uac04", "3254": "1 \ucd9c\uc785\uad6d \uc0ac\uc2e4\uc99d\uba85 \ubc1c\uae09\uae30\uad00\uc744\uc9c0\ubc29\uc790\uce58\ub2e8\uccb4", "3255": "20\u223c30\ud68c", "3256": "empty", "3257": "\uc0b0\uc5c5\uc790\uc6d0\ubd80\uc7a5\uad00\uc0c1 \uc131\uade0\uad00\ub300 \uc5f0\uac1c\uc18c\ubb38", "3258": "70%", "3259": "\ud1a0\uc885\uade0\uc8fc\ub97c \ud65c\uc6a9\ud55c \ub3d9\ubb3c\uc6a9 \ud504\ub85c\ubc14\uc774\uc624\ud2f1\uc2a4 \uac1c\ubc1c", "3260": "\uc778\uc81c\ub300\ud559\uad50\ubd80\uc0b0\ubc31\ubcd1\uc6d0", "3261": "\uad6d\ubbfc\uacfc \ud568\uaed8\ub9cc\ub4dc\ub294 \uc815\ucc45", "3262": "\ubcf5\uc9c0\ubd80", "3263": "empty", "3264": "3\ub144 \uc804\ubb38\ud559\uc0ac\uc758 \uacbd\uc6b0 \uacbd\ub825\uc694\uad6c\uae30\uac04\uc744 1\ub144 \ub2e8\ucd95", "3265": "empty", "3266": "empty", "3267": "empty", "3268": "4\uc2dc\uac04 20\ubd84", "3269": "empty", "3270": "empty", "3271": "\ub300\uac80\ucc30\uccad", "3272": "\ubc29\uc1a1\ubc95", "3273": "\uc131\ub355\uc911, \uc804\ub0a8\uacf5\uc5c5\uace0", "3274": "empty", "3275": "empty", "3276": "empty", "3277": "\uae30\ub2a5\uc131 \ub179\ucc28\ucca8\uac00 \uae40\uce58", "3278": "empty", "3279": "empty", "3280": "empty", "3281": "empty", "3282": "empty", "3283": "empty", "3284": "empty", "3285": "\ucd1d \ub2e8\ubc31\uc9c8 \uac80\uc0ac", "3286": "14\uc77c", "3287": "7 \ud55c \ubc8c\uc758 \ud0c1\uc790\uc640 \uc758\uc790 \uc138\ud2b8", "3288": "empty", "3289": "empty", "3290": "empty", "3291": "Module \u2164\uc5f0\uc218 \ubc1c\ud45c \u00b7 \uc5f0\uc218\uc0dd \uc885\ud569\uc131\uacfc \ubc1c\ud45c", "3292": "\ubbf8\uad6d", "3293": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc\uc11c\ub300\ubb38\uad6c \ub3c4\uc2dc\uad00\ub9ac\uacf5\ub2e8", "3294": "200\ub9cc\uc6d0", "3295": "15,000\uc6d0 \uc774\ud558 3,000\uc6d0, \uc774\uc0c1 30%", "3296": "\uc804\ubd81", "3297": "1\ud68c", "3298": "4-7\uc77c", "3299": "empty", "3300": "1\ub144 \uc775\ub144 2\uc6d4", "3301": "empty", "3302": "\uc11c\uc6b8\uad11\uc9c4\u2027\uae40\uc81c\uc2dc \ub4f1 \ubcf4\uac74\uc18c", "3303": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80", "3304": "1\ub144", "3305": "4 \uc57d\uc0ac\u00b7\ud55c\uc57d\uc0ac \uba74\ud5c8\uc99d \uc7ac\ubc1c\uae09 \uc2e0\uccad \ucc98\ub9ac\uae30\uac04 \ub2e8\ucd95 \u25aa\ubcf5\uc9c0\ubd80", "3306": "empty", "3307": "empty", "3308": "empty", "3309": "empty", "3310": "empty", "3311": "empty", "3312": "empty", "3313": "empty", "3314": "empty", "3315": "empty", "3316": "\uc2dc\ud050\uc544\uc774", "3317": "\ub3c4\ub85c\uad50\ud1b5\uacf5\ub2e8", "3318": "empty", "3319": "\uc0c1\ub85d\ubcf4\uc721\uc6d0 \uc2dc\uc124\uc7a5", "3320": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "3321": "empty", "3322": "\ubc18\uac00\uc5ed\uc801 \uce58\ub9e4", "3323": "empty", "3324": "empty", "3325": "\uc2dc\ucc28 \ucd9c\ud1f4\uadfc\uc81c", "3326": "empty", "3327": "\uc815\ubcf4\uc6b4\uc601\ud300", "3328": "empty", "3329": "7\uc6d4", "3330": "empty", "3331": "empty", "3332": "\uc7ac\uac74\uc131\ud615", "3333": "empty", "3334": "12,430\uc6d0", "3335": "empty", "3336": "empty", "3337": "\uac1c\uad04\uc801\uc778 \ud3c9\uac00\ud56d\ubaa9\u2024\ubc30\uc810\ub9cc \uacf5\uac1c", "3338": "empty", "3339": "empty", "3340": "20 \uc911\uc18c\uae30\uc5c5 \uc9c0\uc6d0\uc0ac\uc5c5 \ud1b5\ud569\uad00\ub9ac\uc2dc\uc2a4\ud15c \uad6c\ucd95", "3341": "24.8\uc5b5\uc6d0", "3342": "4/4\ubd84\uae30", "3343": "empty", "3344": "empty", "3345": "2001 1970 1972 2011", "3346": "empty", "3347": "\uae30 \uc554\ud658\uc790", "3348": "empty", "3349": "empty", "3350": "empty", "3351": "empty", "3352": "\uae08\uc5f0\uc2dc\uc791\uc77c\u223c 6\uac1c\uc6d4", "3353": "empty", "3354": "empty", "3355": "empty", "3356": "empty", "3357": "\uc5f0\uc138\ub300\ud559\uad50\uc758\uacfc\ub300\ud559\uc138\ube0c\ub780\uc2a4\ubcd1\uc6d0", "3358": "\uc790\uccb4 \ubcf4\uc548\ub300\ucc45 \uc218\ub9bd\u00b7\ubcf4\uc548\uc131 \uc2ec\uc758", "3359": "\uacbd\uae30 \uc218\uc6d0\uc2dc, \uace0\uc591\uc2dc, \uc6a9\uc778\uc2dc", "3360": "empty", "3361": "\uc0dd\uba85\ub098\ub214", "3362": "empty", "3363": "\ud611\ub3d9\uc870\ud569\ud615 \ub9c8\uc744\uae30\uc5c5 \uc124\ub9bd\uc744 \ud1b5\ud55c \uc0c1\uad8c \uc7ac\ud3b8", "3364": "empty", "3365": "70\ub9cc\uc6d0", "3366": "\ubaa9\ud3ec\ud55c\uad6d\ubcd1\uc6d0", "3367": "empty", "3368": "\uc804\ub77c\ub0a8\ub3c4 \uc21c\ucc9c\uc758\ub8cc\uc6d0", "3369": "empty", "3370": "empty", "3371": "empty", "3372": "\uae30\uc05c\uc6b0\ub9ac\ubcf5\uc9c0\uad00", "3373": "\ubcf4\uac74\ubcf5\uc9c0\ubd80(\uc2e4\uc885\uc544\ub3d9\uc804\ubb38\uae30\uad00) \uacbd\ucc30\uccad", "3374": "\uc778\ucc9c", "3375": "\uc0b0\ubc1c\uc131~\uc720\ud589\uc131\ub2a6\uc5ec\ub984~\ucd08\uac00\uc744\uc5d0 \uc8fc\ub85c \ubc1c\uc0dd", "3376": "empty", "3377": "\uc5d8\uc9c0\uc804\uc790", "3378": "empty", "3379": "\uc138\uc885\ub300\uc655\uc0c1", "3380": "1\ub144", "3381": "\uac2f\ubc8c\uc0dd\ud0dc\uccb4\ud5d8", "3382": "\uc5b8\uc5b4\uce58\ub8cc\ud559\uac1c\ub860", "3383": "\uae08\ucc9c\uad6c \ub3d9\uad6c \uc138\uc885\uc2dc \ud3c9\ucc3d\uad70\ucca0\uc6d0\uad70", "3384": "empty", "3385": "\ud55c\uad6d\uc2a4\uce74\uc6b0\ud2b8\uc778\ucc9c\uc5f0\ub9f9", "3386": "empty", "3387": "empty", "3388": "empty", "3389": "\ud64d\ucc9c\uad70 \uccad\uc18c\ub144\ubb38\ud654\ud55c\ub9c8\ub2f9", "3390": "empty", "3391": "\ub17c\uc0b0\uc2dc", "3392": "\ud64d\ud61c\uac78 \ubc15\uc0ac 1\uc2dc\uac04", "3393": "empty", "3394": "empty", "3395": "\uacf5\uae30\uc5c5 \uc790\uccb4\uc218\uc785 50% \uc774\uc0c1\uc9c1\uc6d0 50\uc778 \uc774\uc0c1", "3396": "\uc77c\uc790\ub9ac\uc640 \ucc3d\uc5c5", "3397": "90\uc77c", "3398": "empty", "3399": "empty", "3400": "empty", "3401": "23.0%", "3402": "empty", "3403": "\uc7a5\uae30\uc694\uc591\uae30\uad00 \ub610\ub294 \uc758\ub8cc\uacc4\ub97c \ub300\ud45c\ud558\ub294 \uc790", "3404": "4-5\uc77c", "3405": "\uc11c\uc6b8 \ub300\uc804 \ubd80\uc0b0 \uad11\uc8fc \uc6d0\uc8fc \uc77c\uc815 09. 10. 26", "3406": "\ud1b5\uc77c\ubd80", "3407": "10%", "3408": "1\uc2e4 \uc774\uc0c1", "3409": "empty", "3410": "50 % 40 % 30 %", "3411": "empty", "3412": "\uc6d4 20\ub9cc\uc6d0", "3413": "empty", "3414": "10% \ud5a5\uc0c1", "3415": "empty", "3416": "\ubd80\ub3d9\uc0b0\uac70\ub798\uc815\ubcf4 \ubd80\ub3d9\uc0b0\uac70\ub798\uad00\ub9ac\uc2dc\uc2a4\ud15c(\uad6d\ud1a0\ubd80", "3417": "\ub300\uad6c\ubb38\ud654\uc608\uc220\ud68c\uad00", "3418": "10\ub144", "3419": "empty", "3420": "\uc885\ub798\uae30\uc220 \uc2e0\uae30\uc220 \uac80\uc0ac\ubc29\uc2dd Ex-Situ", "3421": "empty", "3422": "\ub2e8\uad6d\ub300\ubcd1\uc6d0", "3423": "\uad00\ub828\uaddc\uc815 \uc5c6\uc74c \uae30\ubcf8\uc790\ubcf8\uc758 100% \ud55c\ub3c4", "3424": "empty", "3425": "2\ub2e8\uacc4", "3426": "10\u223c50\uc2dc\uac04", "3427": "empty", "3428": "\uc774\uc7a5 \uc784\uba85\uc5d0 \uad00\ud55c \uaddc\uce59", "3429": "empty", "3430": "empty", "3431": "26\ub9cc \uc6d0", "3432": "10\uc870\uc6d0", "3433": "\uacb0\uacfc\ud1b5\ubcf4 \u25cb\uc804\ud654, \uc774\uba54\uc77c \ub610\ub294 \uc804\uc790\ubb38\uc11c\ub97c \ud1b5\ud558\uc5ec \uc2ec\uc0ac \uacb0\uacfc \ud1b5\ubcf4", "3434": "\ubd80\uc0b0\uad11\uc5ed\uc2dc\ub300\uc804\uad11\uc5ed\uc2dc \ub300\ub355\uad6c\uac00\uc2a4\uc548\uc804\uacf5\uc0ac", "3435": "empty", "3436": "empty", "3437": "\uc2dc\uc7a5 \ucc38\uc5ec\ud615", "3438": "empty", "3439": "empty", "3440": "\uc11c\uc6b8", "3441": "empty", "3442": "empty", "3443": "\ubcf4\uac74\ubcf5\uc9c0\ubd80 \uc7a5\uad00", "3444": "\uacbd\ub0a8", "3445": "empty", "3446": "empty", "3447": "\ud4e8\ub9ac\uc6c0", "3448": "empty", "3449": "\ud55c\uad6d\ubc29\uc7ac\uc548\uc804\ud559\ud68c 306", "3450": "empty", "3451": "empty", "3452": "\uac15\uc6d0\ub3c4\uccad \ubcc4\uad00 \ud68c\uc758\uc2e4", "3453": "\ub300\ud55c\ubbfc\uad6d\uae30\ub85d\uad00", "3454": "\uc2b9\uc815\uc6d0 \uc77c\uae30", "3455": "empty", "3456": "\uc804\ubb38\uc758\uc0ac 3\uc778\uc744 \ud3ec\ud568\ud55c 6\uc778 \uc774\uc0c1 10\uc778 \uc774\ud558", "3457": "\uc544\ud504\ub9ac\uce74", "3458": "\ud56b\uce20\uace0", "3459": "empty", "3460": "empty", "3461": "\uad6d\ub9bd\uc790\uc5f0\ud734\uc591\ub9bc\uad00\ub9ac\uc18c", "3462": "empty", "3463": "\uc5ec\uc131\uac00\uc871\ubd80", "3464": "empty", "3465": "empty", "3466": "empty", "3467": "\ubcf4\uac74\uc0b0\uc5c5\uc9c4\ud765\uacfc", "3468": "\uc9c4\ucc9c\uad70", "3469": "empty", "3470": "3\uac1c \uc2dc\uc124", "3471": "\uc8fc\uc694\uc99d\uc0c1 \ubc0f \uc784\uc0c1\uacbd\uacfc \u25ab38\u2103\uc774\uc0c1\uc758 \uace0\uc5f4\uacfc \uc704\uc7a5\uad00\uacc4 \uc99d\uc0c1", "3472": "empty", "3473": "\uc9c1\uc811\uc758 \uc6a9\uae30\ub098 \ud3ec\uc7a5", "3474": "5,000\ub9cc\uc6d0\uc774\ud558", "3475": "empty", "3476": "2018\ub144\ub3c4 \uc81c1\ucc28 \ud68c\uc758\ub85d", "3477": "69\uac1c", "3478": "empty", "3479": "empty", "3480": "empty", "3481": "\uccad\uc18c\ub144\ubcf4\ud638\ubc95", "3482": "16\uac1c", "3483": "empty", "3484": "6,665\uba85 2,164\uba85", "3485": "empty", "3486": "\ubb34\uad81\ud654\ud640", "3487": "\ubd84\uccad\uc0ac\uae30", "3488": "empty", "3489": "empty", "3490": "\ud56d\uacf5\uc694\uae08 \ud560\uc778", "3491": "\uc790\uac00\ud608\ub2f9 \uce21\uc815\uae30", "3492": "empty", "3493": "\uc21c\uc99d", "3494": "empty", "3495": "empty", "3496": "empty", "3497": "empty", "3498": "14\uc77c", "3499": "empty", "3500": "\ud638\uc8fc\ub274\uc9c8\ub79c\ub4dc\uc740\ud589", "3501": "\uc751\uae09\uc2e4 \ub0b4\uc6d0 \ud658\uc790 \uc218 \ub300\ube44 \uc751\uae09\uc804\uc6a9 \uc2dc\uc124 \uc6b4\uc6a9 \uacc4\ud68d", "3502": "\uc8fc\ub9d0\uac00\uc871 \ud504\ub85c\uadf8\ub7a8", "3503": "\uac04\ud638\uc870\ubb34\uc0ac \ub4f1 \uc790\uaca9\uc99d \uc7ac\ubc1c\uae09 \uc628\ub77c\uc778\ud654 \u25aaG4C\ub97c \ud1b5\ud574 \uc628\ub77c\uc778 \ubc1c\uae09", "3504": "\uad6d\ubbfc\uac74\uac15\ubcf4\ud5d8\uacf5\ub2e8", "3505": "empty", "3506": "\uc6b0\ub9ac\ubd80 \uc0ac\uc5c5\uacfc", "3507": "empty", "3508": "4", "3509": "3\ud68c", "3510": "500\uc810 \u2462 \uc7ac\uc815\ucc45\uc784\uc131", "3511": "\uc22b\uc790\ub9cc 10\uc790\ub9ac", "3512": "\uc2dc\uc124\uad00\ub9ac\uacf5\ub2e8", "3513": "empty", "3514": "empty", "3515": "\ub1cc\ud608\uad00\uc131 \uce58\ub9e4", "3516": "\ubcd1\ubb34\uccad", "3517": "\ub3d9\uc77c\ud55c \uae30\ub2a5\ub3c4 \uc0ac\uc5c5\uc790\ubcc4\ub85c \uc911\ubcf5 \uac1c\ubc1c", "3518": "\ub300\ud559\uad50 \uc785\ud559\uc2dd", "3519": "\uc778\ud130\ub137\uc758 \uc88b\uc740 \uc810\uacfc \ub098\uc05c \uc810 \uc774\uc57c\uae30\ud558\uae30, \ucc45 \ub9cc\ub4e4\uae30 2\ud68c\uae30", "3520": "empty", "3521": "\ud280\ub2c8\uc9c0", "3522": "2007\ub144", "3523": "empty", "3524": "\ud55c\uad6d\uc77c\ubcf8", "3525": "30%", "3526": "9\uc6d4 ~ 10\uc6d4", "3527": "\ucda9\ub0a8\ub300", "3528": "\uacbd\uc0c1\ub0a8\ub3c4 \ucc3d\uc6d0\uc2dc\uccad", "3529": "10:00~10:05", "3530": "1 \uc11c\uc6b8 \uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc11c\uc6b8\uc758\ub8cc\uc6d0", "3531": "\uc544\ub3d9\uc778\uc9c0\ub2a5\ub825\ud5a5\uc0c1", "3532": "\uc2ec\ub9ac\uc801 \uc694\uc778", "3533": "empty", "3534": "empty", "3535": "empty", "3536": "empty", "3537": "6\uac1c\uc6d4 \ubbf8\ub9cc", "3538": "empty", "3539": "2\ub144", "3540": "180\uc2dc\uac04", "3541": "1\ub2e8\uacc4", "3542": "5\ub9cc\uc6d0", "3543": "\ub300\uad6c\uc138\uacc4\uc721\uc0c1\uacbd\uae30\uc120\uc218\uad8c\ub300\ud68c", "3544": "empty", "3545": "\uc9c0\ubc29 6\uae09 1\uacfc\uc815 1\ud68c 51\uba85", "3546": "empty", "3547": "1 \uc790\uc5f0\ubcf4\ud638 \ubcf4\ud1b5\uc6b0\ud45c 1977", "3548": "empty", "3549": "empty", "3550": "80\uc810", "3551": "empty", "3552": "\uc11c\ubc84 \uae30\ubc18 \ubcf4\uc774\uc2a4\ud53c\uc2f1 \uc0ac\uc804\ucc28\ub2e8 ARS \uc11c\ube44\uc2a4", "3553": "\uc815\ub7c9\ub370\uc774\ud130 \uc0b0\ucd9c", "3554": "198\ubc31\ub9cc\uc6d0", "3555": "\uac10\uc5fc\uad00\ub9ac\ub2a5\ub825 \u2460\uc74c\uc555\uaca9\ub9ac\ubcd1\uc2e4", "3556": "\uc758\uc815\ubd80\uc2dc", "3557": "empty", "3558": "empty", "3559": "empty", "3560": "1948", "3561": "236\uba85", "3562": "1951 \uad6d\uac00\uae30\ub85d\uc6d0 \uad6d\ubb34\ucd1d\ub9ac\ube44\uc11c\uc2e4", "3563": "empty", "3564": "\uad6d\ubb34\ucd1d\ub9ac\uc0c1 IoT \uae30\ubc18 \uc0ac\ud68c\uc548\uc804\ub9dd \uad6c\ucd95 \ubc29\uc548", "3565": "\ubb34\ub98e\uad00\uc808\uc99d", "3566": "\uc758\ub8cc \uc778\ub825 \ud655\ubcf4, \uad50\uc721 \uc5f0\uc218, \uc758\ubb34 \uae30\ub85d \uad00\ub9ac \ub4f1 \uc758\ub8cc \uc218\uc900\uc744 \uacb0\uc815\uc9d3\ub294 \ud575\uc2ec \uc694\uc18c \uc870\uc0ac", "3567": "empty", "3568": "10% \uac74\uac15 \ub9cc\uc131\uc9c8\ud658\uc720\ubcd1\ub960 93.2%", "3569": "empty", "3570": "4", "3571": "empty", "3572": "empty", "3573": "empty", "3574": "empty", "3575": "5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \uacfc\ud0dc\ub8cc", "3576": "1 \ubd80\uc0b0 \ubd80\uc0b0\uc9c4\uad6c", "3577": "\ubd84\uae30\ubcc4 \uac74\uac15\ud2b9\uac15 \ubc0f \uadf8\ub8f9 \uc6b4\ub3d9 \ud504\ub85c\uadf8\ub7a8 \uc2e4\uc2dc 4, 9\uc6d4", "3578": "\uc544\ub3d9\uc774\uc6a9\uc2dc\uc124", "3579": "empty", "3580": "\uad6d\ubc29\ud64d\ubcf4\uc6d0", "3581": "empty", "3582": "empty", "3583": "empty", "3584": "1,000\ub9cc\uc6d0 \uc88c\ub3d9 \u25e6\ubd80\uc0c1\uc790 500\ub9cc\uc6d0", "3585": "empty", "3586": "\uae30\uc220\ud45c\uc900\uc6d0", "3587": "\ucf00\uc774\ucea1\uc815 \uc704\uc2dd\ub3c4\uc5ed\ub958\uc9c8\ud658\uce58\ub8cc\uc81c", "3588": "ENDING \uacf5\uc5f0", "3589": "empty", "3590": "empty", "3591": "\ub18d\uc5c5\uc720\uc804\uc790\uc6d0\uc13c\ud130", "3592": "\ubaa8\ubc94\uacf5\ubb34\uc6d0", "3593": "\uac15\uc6d0\ub3c4\uc6d0\uc8fc\uc2dc", "3594": "2\uba85", "3595": "empty", "3596": "empty", "3597": "\uc720\uc804\uc790\uac80\uc0ac", "3598": "empty", "3599": "\ubb38\ud654(12\uc885) \ud55c\uad6d \uc804\ud1b5\ubb38\uc591(73,000\uac74) \ud55c\uad6d \uac00\uc0ac\ubb38\ud559", "3600": "\uc608\uae08\ubcf4\ud5d8\uacf5\uc0ac", "3601": "empty", "3602": "115 \ub300\uc0c1 14, \ucd5c\uc6b0\uc218 29, \uc6b0\uc218 71, \uc7a5\ub824 1", "3603": "empty", "3604": "\uc804\ub0a8 \uac15\uc9c4", "3605": "empty", "3606": "5\u223c11\ub9cc \uc6d0 7\u223c14\ub9cc \uc6d0 9\u223c19\ub9cc \uc6d0", "3607": "empty", "3608": "\uc77c\ubcf8", "3609": "5,300\uc5b5\uc6d0", "3610": "\uc804\uad6d\ud55c\uc6b0\ud611\ud68c", "3611": "40\ub9cc\uc6d0", "3612": "\uc6b0\ub9acBC\uce74\ub4dc", "3613": "empty", "3614": "\uc218\ud61c\uc790, \uc774\uc6a9\uc790 \ub4f1 \uae30\uc7a5", "3615": "\ubc29\uc1a1\ud1b5\uc2e0\uc704\uc6d0\ud68c", "3616": "\ubcf5\uc9c0 \uc0ac\uac01\uc9c0\ub300 \ubd84\uc11d", "3617": "\uc11c\uc6b8\uc131\ubaa8\ubcd1\uc6d0, \uac00\ucc9c\uc758\ub300\uae38\ubcd1\uc6d0", "3618": "empty", "3619": "empty", "3620": "9,600\ubc31\ub9cc\uc6d0", "3621": "empty", "3622": "empty", "3623": "34803", "3624": "41,200\uc6d0", "3625": "empty", "3626": "5\ubc31~1\ucc9c\ub9cc\uc6d0", "3627": "\ud734\ub300\ud3f0 SMS(\uac70\ub798\ub0b4\uc5ed\ud1b5\ubcf4) 2 \ub4f1\uae09", "3628": "40\ub9cc \uc6d0", "3629": "109703", "3630": "\uc561\uba74 100\uc6d0\ub2f9 \ud3ec\uc778\ud2b8", "3631": "\ub9cc60\uc138 \uc774\uc0c1", "3632": "\ud615\uc2dd\uc801 \uc6b4\uc601\uc774 \ub2e4\uc218", "3633": "empty", "3634": "empty", "3635": "empty", "3636": "empty", "3637": "\ub300\uc724\uacc4\uae30\uc0b0\uc5c5", "3638": "empty", "3639": "empty", "3640": "empty", "3641": "empty", "3642": "1\ud68c", "3643": "empty", "3644": "\uc804\ud1b5\ubb38\ud654(\ub79c\ub4dc\ub9c8\ud06c) \uc21c\ucc9c\uc2dc(\uc804\ub77c\ub0a8\ub3c4) \uc21c\ucc9c\uc0dd\ud0dc\uc2b5\uc9c0", "3645": "\uc804\uc8fc\uc2dc", "3646": "\uc0bc\uc131 \ubcf4\uc721\uc6d0", "3647": "8\ucc28", "3648": "empty", "3649": "\uac74\uac15\uad00\ub9ac \uc758\ub8cc\uae30\uad00 \uc9c4\ub8cc \ubc0f \uc785\uc6d0\ube44(\ubcf8\uc778\uc744 \ud3ec\ud568\ud55c \uac00\uc871) \uc2ec\uc2e0 \uc218\uc591\ube44\uc6a9", "3650": "empty", "3651": "2014. 11. 8(\ud1a0) 16:00~18:00", "3652": "empty", "3653": "empty", "3654": "empty", "3655": "6\ub4f1\uae09 \uc774\uc0c1", "3656": "100\uc5b5\uc6d0", "3657": "empty", "3658": "empty", "3659": "\uc591\uc721\uc790\uc758 \uc9c8\ubcd1, \uc9d1\uc548 \ub300\uc18c\uc0ac \ub4f1 320\uc2dc\uac04", "3660": "empty", "3661": "\ubcf5\uc9c0\ubd80\uc9c0\uce68\uc2e0\uc124", "3662": "\ucc28\ub7c9 \ud558\ubd80 \ucf54\ud305 \uc870\uc131\ubb3c", "3663": "empty", "3664": "\uc11c\uc6b8", "3665": "\ub0a8 89.5%", "3666": "empty", "3667": "\ud55c\uad6d \uc8fc\ud0dd \uae08\uc735 \uacf5\uc0ac", "3668": "\uc9c0\ubd84\uc728 5% \uc774\uc0c1", "3669": "1\uc77c\uff5e7\uc77c", "3670": "30\ub9cc\uc6d0 \uff5e 40\ub9cc\uc6d0", "3671": "5,400\ub9cc \uc6d0 3,400\ub9cc \uc6d0", "3672": "\uadfc\ub85c \ubc0f \uc0ac\uc5c5\uc18c\ub4dd\uc790", "3673": "\uce74\ub4dc\ud3ec\uc778\ud2b8 \ud604\uae08\ud654 \uc11c\ube44\uc2a4, \uad6d\ubbfc\uc758 \uc7ac\uc0b0\uad8c \ud589\uc0ac\uc5d0 \uc774\ubc14\uc9c0\ud558\ub2e4", "3674": "empty", "3675": "empty", "3676": "2,320 \ucc9c\uc6d0", "3677": "empty", "3678": "\uc815\uaddc\ud559\uad50 \ubbf8\uc7ac\ud559\uc0dd", "3679": "empty", "3680": "empty", "3681": "empty", "3682": "\u25cb\uacfc\uc624\ub0a9\uae08 \uc804\ud654\uccad\uad6c \uac00\ub2a5 \uae08\uc561 \uc0c1\ud5a5 \uc870\uc815", "3683": "1953", "3684": "\ud559\uad50, \uc5f0\uad6c\uae30\uad00\uc5d0 \uc18c\uc18d\ub41c \uac1c\uc778 \ub610\ub294 \ud300", "3685": "\uce90\ub098\ub2e4", "3686": "empty", "3687": "empty", "3688": "\ubbf8\uad6d", "3689": "\uc0b4\ucda9\uc81c", "3690": "20\uc138 \uc774\uc0c1", "3691": "550\uc5ec\uba85", "3692": "empty", "3693": "\ub0a8\uc591\uc8fc\uc2dc, \uace0\ucc3d\uad70", "3694": "\ub18d\ub9bc\ucd95\uc0b0 \uac80\uc5ed\ubcf8\ubd80", "3695": "empty", "3696": "\uc6d47\ub9cc\uc6d0\u219213\ub9cc\uc6d0", "3697": "empty", "3698": "\uc131\uccb4\uc904\uae30\uc138\ud3ec \uae30\uc220", "3699": "empty", "3700": "30\uac1c\uc6d4", "3701": "empty", "3702": "\ud55c\ubc29\ud1b5\uc99d, \ub09c\uc784, \ubbf8\uc6a9\uce58\ub8cc", "3703": "\ub208\uc758 \uc7a5\uc560", "3704": "empty", "3705": "empty", "3706": "empty", "3707": "empty", "3708": "6.25\uc804\uc7c1\uc758 \uae30\ub85d", "3709": "\uc5ec\uac00\ubd80", "3710": "\uc81c6\ud68c \ud604\ucda9\uc77c \uae30\ub150 \uc6b0\ud45c", "3711": "empty", "3712": "4\uc8fc", "3713": "34\uac74", "3714": "122\ub9cc \uc6d0", "3715": "empty", "3716": "\ud734\uc9c0 \uad74\ub9ac\uae30", "3717": "empty", "3718": "\uc778\ud558\ud559\uc6d0", "3719": "\uac74\uac15\ubcf4\ud5d8 \uc9c0\ucd9c \ud6a8\uc728\ud654 \u3147 \ubcf8\uc778\ubd80\ub2f4\uae08 \uc81c\ub3c4 \uac1c\uc120", "3720": "\ub9cc 30\uc138 \uc774\uc0c1 \ud658\uc790", "3721": "empty", "3722": "empty", "3723": "\ud504\ub808\uc784\uc6cc\ud06c \u672a\ubcf4\uc720 \uc911\uc18c\uae30\uc5c5 \ubd88\ub9ac", "3724": "5\uac1c\uad6d", "3725": "\uc6b4\uc601\uad00\ub9ac", "3726": "empty", "3727": "\uace0\ub824\ub300\ubcd1\uc6d0", "3728": "\ub450\ub098\ubbf8\uc2a4", "3729": "10,000\uba85", "3730": "empty", "3731": "empty", "3732": "empty", "3733": "empty", "3734": "\uc591\uc131\uc885\uc591 \uc545\uc131\uc885\uc591 \uc131\uc7a5\uc18d\ub3c4 \ucc9c\ucc9c\ud788 \uc790\ub78c \ube68\ub9ac \uc790\ub78c", "3735": "\ud1b5\uc2e0\uc7a5\ube44", "3736": "empty", "3737": "\uc6b8\uc0b0\uad11\uc5ed\uc2dc", "3738": "\uacbd\ubd81 \uc601\uc8fc\uc2dc", "3739": "empty", "3740": "\uc608\uc220\uc758\uc804\ub2f9\ub2ec\ub9de\uc774\uadf9\uc7a5", "3741": "empty", "3742": "\ubc00\uc591\u30fb\ubc00\uc591\uc544\ub9ac\ub791", "3743": "empty", "3744": "2~4%", "3745": "\uc8fc\uc2dd : 1.2%\uff5e3.2%", "3746": "\uc544\uc774\ub450\uc820", "3747": "1", "3748": "empty", "3749": "\uc131\uc0b0\uc544\ud2b8\ud640 \ub300\uadf9\uc7a5", "3750": "1\uba85", "3751": "empty", "3752": "\ud504\ub808\uc784\uc6cc\ud06c \ubbf8 \ubcf4\uc720\uc5c5\uccb4\ub294 \uacbd\uc7c1 \ubd88\ub9ac", "3753": "\ud2b9\ud5c8\uccad\uc7a5", "3754": "empty", "3755": "empty", "3756": "\ub18d\uc5c5\uc6a9\uc218", "3757": "\uc774\ub9c8\ud2b824", "3758": "50\uba85", "3759": "200\uba85", "3760": "empty", "3761": "empty", "3762": "\uc804\ub0a8 \uc5ec\uc218\uc2dc", "3763": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uc7a5\uad00\uc5d0\uac8c \uc2e0\uace0", "3764": "empty", "3765": "\uc54c\ud504\uc2a4D", "3766": "\ubc1c\uae09 \uff65\uc751\uc2dc\ud45c \ucd9c\ub825 \uff65\uc751\uc2dc\ud45c \ucd9c\ub825 \ubc0f \ud30c\uc77c \ub2e4\uc6b4\ub85c\ub4dc \uac00\ub2a5", "3767": "\uacbd\ub0a8 \uc591\uc0b0\uc2dc", "3768": "empty", "3769": "\uad00\uacc4 \ubd80\uc11c", "3770": "\uc7ac\ub8cc\ube44", "3771": "empty", "3772": "\ub3c4\ub85c\uad50\ud1b5\uacf5\ub2e8", "3773": "75\uc138 \uc774\uc0c1\uac74\uac15\ubcf4\ud5d8 \uc9c0\uc6d0", "3774": "\uc0bc\uc131\uc11c\uc6b8\ubcd1\uc6d0", "3775": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\ud5d8\uc57d\uc81c\uacfc", "3776": "empty", "3777": "14\uc77c", "3778": "empty", "3779": "empty", "3780": "ETRI", "3781": "empty", "3782": "10\ucc28", "3783": "25\uba85", "3784": "2014 (2\ub2e8\uacc4)\uc804\uba74\uac1c\ud3b8", "3785": "empty", "3786": "empty", "3787": "12,964 \uac00\uad6c \ucd1d 36,883 \uac00\uad6c", "3788": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uc0ac\ud68c\uc11c\ube44\uc2a4\uc815\ucc45\uacfc", "3789": "empty", "3790": "empty", "3791": "\uc790\ub3d9\ucc28\uad00\ub9ac\ubc95\ub839 \uac1c\uc815", "3792": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uc721\uc0ac\uc5c5\uae30\ud68d\uacfc", "3793": "empty", "3794": "empty", "3795": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uc694\uc591\ubcf4\ud5d8\uc6b4\uc601\uacfc", "3796": "\ubd81\ubbf8(1\uac1c\uad6d) - \ubbf8\uad6d", "3797": "empty", "3798": "23", "3799": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uac74\uc758\ub8cc\uc815\ucc45\uacfc", "3800": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uc721\uc0ac\uc5c5\uae30\ud68d\uacfc", "3801": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uc721\uc0ac\uc5c5\uae30\ud68d\uacfc", "3802": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uad6d\ubbfc\uc5f0\uae08\uc815\ucc45\uacfc", "3803": "empty", "3804": "\uc5ec\uc2e0\uad00\ub9ac\uc5c5\ubb34\uc2dc\ud589\uc138\uce59", "3805": "empty", "3806": "empty", "3807": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uae30\ucd08\uc5f0\uae08\uacfc", "3808": "empty", "3809": "24.50%", "3810": "\uc5d4\ud14c\ub85c\ubc14\uc774\ub7ec\uc2a4", "3811": "empty", "3812": "\ud640\ud2b8\uc544\ub3d9\ubcf5\uc9c0\ud68c /\ubcf5\uc9c0\uc0ac\uc5c5 \uc2e4\uc7a5", "3813": "empty", "3814": "\ucd5c\ubbf8\uc601", "3815": "5.1%", "3816": "empty", "3817": "150\ub9cc\uac74", "3818": "empty", "3819": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "3820": "empty", "3821": "\uc218\uc775\ucc3d\ucd9c \ub2a5\ub825 \uc81c\uace0", "3822": "empty", "3823": "\uad6d\ud1a0\ubd80", "3824": "\ud654\ucc9c \ud48d\uc0b0\ub9c8\uc744", "3825": "\uae30\ud6c4\ub300\uae30\uc815\ucc45\uad00 \uad6d\ud1a0\ud574\uc591\ubd80", "3826": "10\u223c20% \uc778\uc0c1", "3827": "empty", "3828": "empty", "3829": "4160", "3830": "empty", "3831": "\ub2e4\ubb38\ud654\ud2b9\uac15", "3832": "empty", "3833": "\ub300\ud1b5\ub839\uc0c1", "3834": "\ub300\ud559\uc778\uac00\ud655\uc778\uc11c, \ud3c9\uc0dd\ud559\uc2b5\uc774\ub825\uc99d\uba85\uc11c \ubc1c\uae09 \ub4f1", "3835": "25\u223c36\uac1c\uc6d4 37\u223c48\uac1c\uc6d4", "3836": "empty", "3837": "empty", "3838": "empty", "3839": "\uc804\ud1b5\uc2dc\uc7a5 \uc9c0\ub2a5\ud615 \ud654\uc7ac\uac10\uc2dc\uc2dc\uc2a4\ud15c", "3840": "empty", "3841": "empty", "3842": "empty", "3843": "\uc591\ud3c9 \uc720\uae30\ub18d\ub9c8\uc744", "3844": "empty", "3845": "300\ub9cc\uc6d0 \uc774\ub0b4 700\ub9cc\uc6d0 \uc774\ub0b4", "3846": "empty", "3847": "empty", "3848": "empty", "3849": "\uc774\ubc14\uad6c\ub9c8\uc744 \u321c\uacf5\uacf5\ud50c\ub79c 2 \uc778\ucc9c \uac15\ud654\ub3c4", "3850": "61.4%", "3851": "empty", "3852": "\uc218\ub2e4\ub9c8\ub8e8", "3853": "empty", "3854": "empty", "3855": "\ucc9c\uc548\uc0c1\uacf5\ud68c\uc758\uc18c", "3856": "\uacf5\ubb34\uc6d0\uc5f0\uae08", "3857": "87\ub9cc\uc6d0 93\ub9cc\uc6d0", "3858": "\ub9e4\uc77c \ucc59\uae30\ub294 \uc6b0\ub9ac \uc9d1 \uc8fc\uce58\uc758! \uc57d\ubb3c \uc21c\uc751\ub3c4 \uac1c\uc120 \uc0ac\uc5c5", "3859": "10\uc77c", "3860": "empty", "3861": "\uac1c\uc778\uc815\ubcf4\uc758 \uc218\uc9d1\uc81c\ud55c", "3862": "1970.8/ 7\uff5e13 \ud0dc \ub2a5 \ucd1d 746\uba85", "3863": "empty", "3864": "\uc11c\uc6b8\u00b7\uc81c\uc8fc\uc9c0\uc5ed", "3865": "empty", "3866": "empty", "3867": "empty", "3868": "\uc2ec\uc7a5\uc9c8\ud658\uad00\ub828 4\uc885", "3869": "\uac74\uac15\ubcf4\ud5d8\uc2ec\uc0ac\ud3c9\uac00\uc6d0", "3870": "empty", "3871": "empty", "3872": "empty", "3873": "empty", "3874": "\uc5f0\uad6c\uac1c\ubc1c \ud22c\uc790\uc2e4\uc801", "3875": "empty", "3876": "empty", "3877": "5\uac74 \uc774\uc0c1", "3878": "\uc0bc\uc131\uc804\uc790", "3879": "\uc2dc\uc7a5\ud615 \uc778\ub825\ud30c\uacac\ud615", "3880": "empty", "3881": "\uae08\uc735\uc0ac\uc9c0\ubc30\uad6c\uc870\ubc95", "3882": "\ub3d9\uc77c\ud55c \uae30\ub2a5\ub3c4 \uc0ac\uc5c5\uc790\ubcc4\ub85c \uc911\ubcf5 \uac1c\ubc1c", "3883": "empty", "3884": "\ud611\uc5c5", "3885": "empty", "3886": "1\u223c4\uc77c", "3887": "empty", "3888": "empty", "3889": "empty", "3890": "empty", "3891": "empty", "3892": "25.7% \uc99d\uac00", "3893": "empty", "3894": "500\uc778", "3895": "\uc870\ubb38 (1-1) \uc758\ub8cc\uae30\uad00 \uac1c\uc124\uc790\uaca9 \ubbf8\ubcf4\uc720\uc790", "3896": "empty", "3897": "\uc11c\uc6b8 \uc2dc\uccad\uc5ed \uc9c0\ud558\ubcf4\ub3c4", "3898": "\ud611\ub3d9\uc870\ud569\uae30\ubcf8\ubc95 (\uae30\uc7ac\ubd80) \uc18c\ube44\uc790\uc0dd\ud65c\ud611\ub3d9\uc870\ud569\ubc95", "3899": "\uc785\ud559\uae08", "3900": "400,000\uc6d0 400,000\uc6d0 \uc774\ud558 9,600\ub9cc\uc6d0 \uc774\ud558", "3901": "20 10 10", "3902": "\uad6d\ud1a0\ud574\uc591\ubd80", "3903": "empty", "3904": "\uc7a0 \ubcf5 \uae30 \u25ab\ud3c9\uade0 5-7\uc77c", "3905": "empty", "3906": "empty", "3907": "90\ub144\ub300 \ud6c4\ubc18", "3908": "2\ub4f1\uae09", "3909": "\uc6b8\uc0b0\ub300\ud559\uad50\ubcd1\uc6d0", "3910": "empty", "3911": "empty", "3912": "\ud55c\uad6d\ubc18\ub3c4\uccb4\uc0b0\uc5c5\ud611\ud68c\uc7a5\uc0c1", "3913": "empty", "3914": "empty", "3915": "\uc2e0\ud55c", "3916": "empty", "3917": "10\uba85", "3918": "empty", "3919": "empty", "3920": "empty", "3921": "empty", "3922": "9\ub9cc5000\uba85", "3923": "\uc2a4\ud14c\ub85c\uc774\ub4dc\uc81c", "3924": "\ub4dc\ub860", "3925": "\uacc4\ud68d \ubc0f \uc9d1\ud589", "3926": "8%", "3927": "1\uac1c\uc18c \ub0a8\uad6c \ud55c\uc601\ud55c\ub9c8\uc74c\uc544\ub3d9\ubcd1\uc6d0", "3928": "4-4. \uac74\uac15\uc74c\ub8cc \ub531\uc9c0\ub180\uc774", "3929": "empty", "3930": "\uc804\ud1b5\ub180\uc774", "3931": "empty", "3932": "\ubaa9\uc695\uc2dc\uc124", "3933": "empty", "3934": "empty", "3935": "\uad6d\ubbfc\uc5f0\uae08\uacf5\ub2e8", "3936": "empty", "3937": "4\uc778", "3938": "\ub2e4\uc790\ub140 \uc785\ud559\ucd95\ud558 \uc0c1\ud488\uad8c, \ubc31\uc77c\uae30\ub150 \uc0ac\uc9c4\ube44 \uc9c0\uc6d0", "3939": "51\ud559\uc810", "3940": "\uc751\uae09\uc2e4 \uc804\ub2f4\uc758\uc0ac 2\uba85 \ub610\ub294 1\uba85\uc774\uc0c1", "3941": "empty", "3942": "\ubd04\ub0a0\uc758 \uc5ec\ud589\ub3c4 \uc815\ubd8024", "3943": "\ub274\uc695\uc2dc \ud558\uc774\ub77c\uc778", "3944": "\ubb38\ud654\uacf5\ubcf4\ubd80", "3945": "empty", "3946": "\uad6d\ub0b4 \uc11c\uc6b8 \uac15\ubd81\uad6c", "3947": "25 \uc778\ucc9c\uc0c1\ub959\uc791\uc804 \uae30\ub150\uc870\uac01", "3948": "empty", "3949": "\uacbd\uc0c1\ubd81\ub3c4 \ubcf4\uac74\ud658\uacbd\uc0b0\ub9bc\uad6d\uc7a5", "3950": "empty", "3951": "empty", "3952": "empty", "3953": "empty", "3954": "\uad50\uc721\ubd80", "3955": "\uc5f0\ud558\uadfc \ub9c8\ube44, \ubb3c\uc5d0 \ub300\ud55c \uacf5\ud3ec, \ud638\ud761\uadfc \uacbd\ub828, \uc804\uc2e0\uacbd\ub828, \uc0ac\ub9dd", "3956": "\ud589\uc548\ubd80", "3957": "empty", "3958": "empty", "3959": "empty", "3960": "\ubaa8\ub4e0 \uad6d\ubbfc", "3961": "1 \uc7a5\uc560\uc778", "3962": "empty", "3963": "\ud589\uc815\uc548\uc804\ubd80\uc7a5\uad00\uc0c1", "3964": "empty", "3965": "\ub098\uc8fc, \uc601\uc554, \uac15\ub0a8\uad6c", "3966": "\uc2e4\uc2dc\uac04 \uc628\ub77c\uc778 \uac15\uc758", "3967": "empty", "3968": "empty", "3969": "1\uba74\uc774\uc0c1 \uc124\uce58", "3970": "empty", "3971": "200\ub9cc\uc6d0", "3972": "empty", "3973": "20\uba85 \uc774\ub0b4", "3974": "20%", "3975": "50\ub9cc\uc6d0", "3976": "empty", "3977": "empty", "3978": "empty", "3979": "empty", "3980": "empty", "3981": "\ubc14\ud558\uc758 \ub9c8\uc744", "3982": "empty", "3983": "\ud31c\ub9f5 \uae30\ubc18 \ud1a0\uc591\ubd84\uc11d\uc815\ubcf4", "3984": "empty", "3985": "\uacf5\uc6d0, \uc804\uc2dc\u00b7\uacf5\uc5f0\uc7a5 \u27a2\uc57c\uc678\uc6a9 \ud14c\uc774\ube14, \ubca4\uce58", "3986": "empty", "3987": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80", "3988": "\uba54\uc774\ucee4\uc2a4\ud398\uc774\uc2a4", "3989": "1,500\uc720\ub85c", "3990": "empty", "3991": "\ub2f9\ub1e8\ub85c \uc0c1\ucc98\uac00 \uc545\ud654\ub41c \ubd84\uc744 \uc758\ub8cc\uc6d0\uc73c\ub85c \uc774\uc1a1, \uc190\uac00\ub77d \uc808\ub2e8 \uc608\ubc29", "3992": "\uc804\ub0a8\ub300\ubcd1\uc6d0", "3993": "\uc5f0\uc138\uc758\ub8cc\uc6d0", "3994": "6\uc885", "3995": "1,000\uc6d0", "3996": "empty", "3997": "empty", "3998": "1", "3999": "empty", "4000": "\ubc00\uc811\uc811\ucd09\uc790 \uba85\ub2e8 \uc804\ud30c\ud56d\ubc14\uc774\ub7ec\uc2a4\uc81c \ud22c\uc57d \ubc0f \uc218\ub3d9\uac10\uc2dc", "4001": "\ucd08\uc74c\ud30c\uac80\uc0ac \ubcf4\ud5d8\uc801\uc6a9 2013\ub144", "4002": "empty", "4003": "\uc548\uc804\ud558\uace0 \ub530\ub73b\ud55c \uc0ac\ud68c \uad6c\ud604", "4004": "empty", "4005": "empty", "4006": "empty", "4007": "empty", "4008": "\uad6d\uace0\uae08\uad00\ub9ac\ubc95\ub839\uc5d0 \ub530\ub978 \uc870\ubb38\uc815\ube44", "4009": "empty", "4010": "empty", "4011": "empty", "4012": "NHS Improvement 195\ub9cc 2304\uac74", "4013": "empty", "4014": "empty", "4015": "empty", "4016": "\ud574\uc678\uc0ac\uc2a4 \ucd9c\ud604\uc2dc", "4017": "20\uba85", "4018": "empty", "4019": "\uccb4\ud5d8\ud615 \uad50\uc721 \uc2e4\uc2dc", "4020": "empty", "4021": "\uccb4\uacc4\uc801\uc778 \uc751\uae09\uc758\ub8cc\uae30\uad00 \uc815\ubcf4\uc81c\uacf5", "4022": "\uac1c\uc778\ubcc4 \ub9de\ucda4\ud615 \uc6b4\ub3d9\ucc98\ubc29 \ud504\ub85c\uadf8\ub7a8 \uc81c\uc2dc \ubc0f \uc2e4\uc2dc", "4023": "\uad6d\ub9bd\uc554\uc13c\ud130", "4024": "\ud2b9\ud5c8\uccad", "4025": "\uc0ac\ubb34\uad6d", "4026": "empty", "4027": "empty", "4028": "\uc6d4 500\ub9cc \uc6d0 \uc6d4 1000\ub9cc \uc6d0", "4029": "\uacbd\uae30\uc218\uc6d0", "4030": "empty", "4031": "empty", "4032": "14 16", "4033": "7\uac1c", "4034": "\uc2dc\ub9bd\ub9c8\ud3ec\ub178\uc778\uc885\ud569\ubcf5\uc9c0\uad00 \ub7ec\ube59\uc6d4\ub4dc", "4035": "empty", "4036": "empty", "4037": "20\uc810", "4038": "empty", "4039": "empty", "4040": "empty", "4041": "empty", "4042": "empty", "4043": "empty", "4044": "\ud589\uc815\uc790\uce58\ubd80", "4045": "\uc804\uae30\uc804\uc790", "4046": "\uc815\ubc00 \ub2e8\uc21c(\uae30\ubcf8)\ucd08\uc74c\ud30c", "4047": "empty", "4048": "empty", "4049": "empty", "4050": "empty", "4051": "empty", "4052": "10-12\uc77c", "4053": "empty", "4054": "empty", "4055": "empty", "4056": "50\uba85\ub2f9 1\uba85", "4057": "empty", "4058": "empty", "4059": "empty", "4060": "empty", "4061": "4", "4062": "\ud55c\uad6d\uc138\ud3ec\uc8fc\uc5f0\uad6c\uc7ac\ub2e8, \uc774\uc0ac\uc7a5", "4063": "empty", "4064": "empty", "4065": "\uc758\ub8cc\uae30\uad00 \uadfc\ub85c\uc790 \uac1c\uc778\uc815\ubcf4 \ucc98\ub9ac\uae30\uc900 \u203b \uc778\uc0ac\ub178\ubb34 \uac00\uc774\ub4dc\ub77c\uc778 \ubcc4\ub3c4 \uad6c\uc131", "4066": "\ub9cc 15-18\uc138(89-92\ub144\uc0dd) 4500 5\uc5b5\uc6d0", "4067": "15\ubd84", "4068": "empty", "4069": "2\uba85", "4070": "3\uac1c\uc6d4\uc774\uacbd\uacfc\ud55c \ub0a0", "4071": "16\uc5b5\uc6d0", "4072": "empty", "4073": "584.47", "4074": "empty", "4075": "\uc11c\uc6b8", "4076": "\uc778\ub825\ud30c\uacac\ud615", "4077": "1", "4078": "empty", "4079": "\uc57d 7\uc5b5\uc6d0", "4080": "empty", "4081": "\ud654\uc21c\uad70, \ud574\ub0a8\uad70", "4082": "\uacbd\uae30 \uc624\uc0b0\uc2dc", "4083": "10\uac1c)", "4084": "43.6\ud0ac\ub85c\uadf8\ub7a8 \uc774\uc0c1", "4085": "24\uff0d72\uc2dc\uac04", "4086": "empty", "4087": "\uc11c\uad6c\uccad \ud1b5\ud569\uad00\ub9ac\uc2dc\uc2a4\ud15c \uad11\uc8fc \uc11c\uad6c\uccad \ud55c\ubafc\uc815\ubcf4", "4088": "19\uba85", "4089": "\uad6d\uac00\uc554\uad00\ub9ac\uc704\uc6d0\ud68c", "4090": "2006\ub144 2007\ub144 2008\ub144 \ubaa9\ud45c\uc0ac\uc5c5\ub0b4\uc6a9 \uc0ac\uc5c5\uae30\ubc18 \uc870\uc131", "4091": "2\ub144", "4092": "2\ub144", "4093": "empty", "4094": "84\uac74", "4095": "\ud658\uc790\uac00 \uc758\uc0ac\ub2a5\ub825\uc774 \uc5c6\uace0, \ud658\uc790\uc758 \uc758\uc0ac\ub97c \ud655\uc778\ud560 \uc218\ub3c4 \uc5c6\uc744 \ub54c", "4096": "\uc2a4\uc704\uc2a4 \uc81c\ub124\ubc14", "4097": "empty", "4098": "\uc9c0\uc5ed\uc790\uc6d0 \uc870\uc0ac", "4099": "\uc0ac\ud68c\ubcf4\ud5d8 \u00b7 \ud604\ub300\uc5f0\ud569\uc758\uc6d0", "4100": "\uc11c\uc6b8\uc2dc\uc124\uad00\ub9ac\uacf5\ub2e8", "4101": "\ud55c\uad6d", "4102": "\ud55c\uad6d(KIPO) \uc2ec\uc0ac\uad00 \ud6c8\ub828 \uc815\ucc45, \uae30\uacc4\ubc88\uc5ed \uc911\uad6d", "4103": "empty", "4104": "empty", "4105": "80.1%", "4106": "empty", "4107": "\uc18c\ub4dd\uacfc \uae30\uc5ec\uc5d0 \uad00\uacc4\uc5c6\uc774 \uac70\uc8fc \uc870\uac74\uc73c\ub85c \uc9c0\uae09", "4108": "\uc804\uad6d 14\uac1c \uc740\ud589 \uc601\uc5c5\uc810", "4109": "7.3", "4110": "30\uc77c\uc774\ub0b4", "4111": "empty", "4112": "\uc2a4\ud398\uc778", "4113": "50\uc5ec\uc810", "4114": "empty", "4115": "\uc870\ub840\uc81c12\uc870", "4116": "3\uba85 \uc774\uc0c1", "4117": "\uacbd\ubd81 \u25b8(\ub9de\ucda4\ud615\uc11c\ube44\uc2a4) \uc6b0\ub9ac\ub9c8\uc744 \uac74\uac15 \uc815\ubd803.0 \uc11c\ube44\uc2a4", "4118": "empty", "4119": "empty", "4120": "\uc720\uc9c4\uc624 \uc81c\ud5cc\ud5cc\ubc95 \ucd08\uace0 \uace0\ub824\ub300\ud559\uad50 \ubc15\ubb3c\uad00", "4121": "\uc774\ub2ec\uc758 \uae30\ub85d", "4122": "empty", "4123": "empty", "4124": "empty", "4125": "23\ub14410\uc6d4", "4126": "10%", "4127": "empty", "4128": "empty", "4129": "2\uc5b5 4976\ub9cc\uc6d0", "4130": "54.2% 2.8\uba85", "4131": "30\ub144", "4132": "25\uba85", "4133": "empty", "4134": "98\ubc31\ub9cc\uc6d0 127\ubc31\ub9cc\uc6d0", "4135": "empty", "4136": "empty", "4137": "empty", "4138": "empty", "4139": "empty", "4140": "empty", "4141": "\uc790\uce58\uacbd\ucc30 \uc0ac\ubb34 \uc57d50%", "4142": "\uc0c1\uac00\u00b7\uacf5\uc7a5", "4143": "\uc548\uc804\uc6a9 \uae08\uc18d\u00b7\ube44\uae08\uc18d \uac00\uacf5\uc81c\ud488", "4144": "empty", "4145": "empty", "4146": "empty", "4147": "\ub514\uc9c0\ud138 \ubbfc\uc8fc\uc8fc\uc758 \ud50c\ub7ab\ud3fc \uad6c\ucd95\u00b7\uc6b4\uc601 \ubc0f \uc624\ud508\uc18c\uc2a4\ud654", "4148": "\ud589\uc815\uc548\uc804\ubd80", "4149": "3.23", "4150": "empty", "4151": "empty", "4152": "9,600\ub9cc\uc6d0 \uc774\ud558", "4153": "empty", "4154": "2%", "4155": "600\ubc31\ub9cc\uc6d0", "4156": "\ubcd1\ubb34\uccad, \uc804\ub0a8 \uc5ec\uc218", "4157": "empty", "4158": "\uae09\uc131\uae30 \uc77c\ucc28 \uc9c4\ub8cc, \uac1c\uc778 \uac74\uac15\uc99d\uc9c4", "4159": "4\uc8fc", "4160": "263\uac1c", "4161": "706.6\uc810", "4162": "\uc7a5\uc560\uc778\uc815\ucc45\uacfc", "4163": "6\uc77c", "4164": "empty", "4165": "\ubc30\ub780 \uc720\ub3c4", "4166": "empty", "4167": "\uadfc\uc721\ub0b4 \uc2e0\uacbd \uadfc\uc721 \uc811\ud569\ubd80", "4168": "empty", "4169": "empty", "4170": "\uc815\uc8fc\uc0dd\ud65c\uc9c0\uc6d0\uae08, \ud574\uc0c1\uc6b4\uc1a1\ube44 \ub4f1", "4171": "empty", "4172": "\ubc95\ub960\uacbd\ucc30\uc18c\ubc29\uad50\ub3c4", "4173": "empty", "4174": "MMV", "4175": "\ud2b9\uc218\uad50\uc721 \uac15\ud654", "4176": "empty", "4177": "empty", "4178": "10\uac1c", "4179": "\uccad\ubbf8\ub974\ubc1c\ub808\ub2e8", "4180": "empty", "4181": "\uc778\uc9c0\uac15\ud654\uad50\uc2e4", "4182": "\uae30\uacc4 \ub3d9\uc791\ubd80 \u2219\ud14c\uc774\ud504 \uad6c\ub3d9\ubd80 \u2219\ub514\uc2a4\ud06c \uad6c\ub3d9\ubd80\u2219\ud53d\uc5c5 \uad6c\ub3d9\ubd80", "4183": "empty", "4184": "673", "4185": "empty", "4186": "empty", "4187": "\ub300\ud1b5\ub839\ub839 \ubc95 \uc81c9\uc870", "4188": "\ubbf8\uad6d", "4189": "empty", "4190": "1\uac1c\uc6d4\uac04 \ud5cc\ud608 \uae08\uc9c0", "4191": "\ubc95\ubb34\ubd80", "4192": "\ub9cc65-79 3000", "4193": "\uc601\ub0a8\ub300\ud559\uad50\uc758\ub8cc\uc6d0", "4194": "\uae30\uc7ac\ubd80", "4195": "empty", "4196": "\uc804\uae30\uac00\uc2a4\uc694\uae08 \uac10\uba74", "4197": "\ubc1c\uba85\uc9c4\ud765\ubc95", "4198": "empty", "4199": "\ud734\ub300\ud615\u00b7\uc644\uc804\uc774\uc2dd\ud615 \uc778\uacf5\ub0b4\ubd84\ube44\u00b7\uc21c\ud658\uae30\uc7a5\uce58\uac1c\ubc1c", "4200": "3\uac1c\uc6d4", "4201": "empty", "4202": "\ud3c9\uade0 10\uff5e21\uc77c", "4203": "84.6", "4204": "3\ud68c", "4205": "empty", "4206": "\uc9c0\uc790\uccb4\ubc95\uc778", "4207": "\ud655\uc815\ud310\uacb0\uacfc \ub3d9\uc77c\ud55c \ud6a8\ub825", "4208": "10\uba85 \uc774\ub0b4", "4209": "537\uac74", "4210": "\uc720\ucd9c\uc2e0\uace0", "4211": "\uc694\ub974\ub2e8\ub300\uc0ac\uad00", "4212": "\ud604\uae08(\uc0c1\ud488\uad8c \ud3ec\ud568) \ub610\ub294 \ubb3c\ud488(\uc300, \uc0dd\ud544\ud488, \ucc28\ub840\uc0c1 \ub4f1) \uc57d 100\uc5b5\uc6d0", "4213": "empty", "4214": "\ub300\uc911\uad50\ud1b5 \uc774\uc6a9 \ubc0f \uc7a5\uc560\uc778 \ub808\ud3ec\uce20 \uc815\ubcf4 \uc81c\uacf5 \ub4f1 \u318d\ub3c4\uc6c0\ub098\ub77c", "4215": "\uc740\ube5b\ud589\ubcf5\uac00\uac8c \uc2dc\uc124 \ub0b4 \ub9e4\uc810 \uc6b4\uc601", "4216": "empty", "4217": "FTA\uc640 RCEP\ud611\uc815 \uc911, \uc720\ub9ac\ud55c \ud611\uc815 \uc801\uc6a9 \ucee8\uc124\ud305", "4218": "empty", "4219": "empty", "4220": "empty", "4221": "empty", "4222": "\uc0b0\ub9bc\uccad", "4223": "\uc0c9\ub3d9\ud68c", "4224": "empty", "4225": "60.6%", "4226": "\uac15\ubd81\uc9c0\uc5ed\uc790\ud65c\uc13c\ud130 \uac01 30\uba85", "4227": "\uc0dd\ud65c\uae08\uc735", "4228": "50\ub9cc\uc6d0", "4229": "1975", "4230": "\uc2e0\uc6a9\ubcf4\uc99d\uae30\uae08", "4231": "1977", "4232": "empty", "4233": "empty", "4234": "empty", "4235": "\ubc14\ub2e4\ud658\uacbd\ubcf4\ud638", "4236": "2\uc885 \uc218\uae09\uad8c\uc790\uc5f0 120 \u2192 80\ub9cc\uc6d0", "4237": "\ub9cc 65\uc138 \uc774\uc0c1 \ub178\uc778", "4238": "6\ub9cc\uc6d0", "4239": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \uc7a5\ub0b4\uc138\uade0\ud300", "4240": "6\uc6d4", "4241": "empty", "4242": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \uc804\uc5fc\ubcd1\uac10\uc2dc\ud300", "4243": "\ud1b5\uc0c1\u00b7\ub300\uc678\uacbd\uc81c \uc815\ucc45", "4244": "empty", "4245": "empty", "4246": "empty", "4247": "58.5%", "4248": "30\ud3c9 50\ud3c9", "4249": "empty", "4250": "empty", "4251": "empty", "4252": "5\uba85\ubd80\ud130\uc758 \uc0ac\uc801\ubaa8\uc784 \uae08\uc9c0", "4253": "empty", "4254": "empty", "4255": "empty", "4256": "empty", "4257": "empty", "4258": "empty", "4259": "empty", "4260": "empty", "4261": "empty", "4262": "\ud64d\ubcf4\ud65c\ub3d9\ube44", "4263": "60\uc138", "4264": "empty", "4265": "empty", "4266": "empty", "4267": "empty", "4268": "45\ud37c\uc13c\ud2b8", "4269": "empty", "4270": "empty", "4271": "\ubca0\ud2b8\ub0a8 \uc9c0\uc5ed \ud55c\uad6d\uc758\ub8cc \ud64d\ubcf4\ud68c \uac1c\ucd5c", "4272": "60\uc77c", "4273": "\ucc9c\uc5f0\ubb3c\ud654\ud559 \ubc0f \uc57d\uc81c\ud559", "4274": "26 1000", "4275": "51.6% 42.1%", "4276": "4\uc2dc\uac04", "4277": "1 - 1- - \ub300\ub355\uad6c", "4278": "1\uac1c \uc774\uc0c1", "4279": "4\uac1c 5,595\uba85", "4280": "empty", "4281": "\ud504\ub791\uc2a4\uc5d0\uc11c \ubcf4\uace0\ub41c \uc801 \uc788\uc74c \uc7a0\ubcf5\uae30 \u25ab\ud3c9\uade0 3\u223c7\uc77c", "4282": "empty", "4283": "empty", "4284": "\ud589\uc548\ubd80", "4285": "empty", "4286": "empty", "4287": "\uac00\ud1a8\ub9ad\ub300\ud559\uad50 \uc11c\uc6b8\uc131\ubaa8\ubcd1\uc6d0", "4288": "\uc11c\uc6b8 \uc911\uad6c", "4289": "\uae40\uc81c \uc9c0\ud3c9\uc120\ucd95\uc81c", "4290": "empty", "4291": "empty", "4292": "\uae30\uc7ac\ubd80", "4293": "empty", "4294": "\ub9cc\uad6c\ub300\ud0c1\uad7f", "4295": "empty", "4296": "3-7\uc77c", "4297": "empty", "4298": "empty", "4299": "empty", "4300": "\ubbf8\uad6d", "4301": "1\ub144 \uc774\uc0c1 \uc9d5\uc5ed", "4302": "28.5%", "4303": "empty", "4304": "92\uac74", "4305": "1,000\ub9cc\uc6d0 \uc88c \ub3d9 \u25e6\ubd80\uc0c1\uc790 500\ub9cc\uc6d0", "4306": "empty", "4307": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "4308": "empty", "4309": "empty", "4310": "2\u223c3\uc2dc\uac04 \ub0b4\uc5d0 \uac00\ubcbc\uc6b4 \ub450\ud1b5\uc774 \uc77c\uc5b4\ub0a8", "4311": "\uc591\ud3c9\uad70 \ucd5c\uc6b0\uc218(1\uac1c\ub2e8\uccb4) \uc0c1\uc8fc\uc2dc", "4312": "\uc7a5\uc560\uc778 \uad6c\uac15\uac74\uac15\uc2e4\ud0dc\uc870\uc0ac", "4313": "empty", "4314": "empty", "4315": "empty", "4316": "\uc11c\uc6b8\ub300 \uc0dd\uba85\uacf5\ud559\ubd80", "4317": "\uc870\uae30 \ud0d0\uc9c0(Detect) \u2460 \uc2e4\uc2dc\uac04 \uac10\uc2dc\ub97c \uc704\ud55c \uae00\ub85c\ubc8c \ub124\ud2b8\uc6cc\ud06c \uac15\ud654", "4318": "\ub18d\ucd0c\uc9c4\ud765\uccad", "4319": "24\uff0d72\uc2dc\uac04", "4320": "empty", "4321": "2\ub144 \uc774\uc0c1", "4322": "empty", "4323": "775\uc810 \uc774\uc0c1", "4324": "empty", "4325": "40\uc2dc\uac04", "4326": "6\uae09 180\uc810 \uc774\uc0c1", "4327": "empty", "4328": "empty", "4329": "empty", "4330": "empty", "4331": "empty", "4332": "1\ud300", "4333": "\ud55c\uad6d\uc218\uc790\uc6d0\uacf5\uc0ac", "4334": "empty", "4335": "empty", "4336": "10\ub9cc\uc6d0", "4337": "empty", "4338": "\uc911\uacac\uc2ec\uc0ac\uad00 \uacfc\uc815", "4339": "30\uc77c", "4340": "empty", "4341": "empty", "4342": "16\uac1c \uae30\ub2a5 27\uac1c \uc0ac\ubb34", "4343": "\ub178\uc778\uc815\ucc45\uacfc", "4344": "10% 25%", "4345": "\ubcf4\uac74\uc758\ub8cc \ubcd1\uc6d0\uae09 \uc774\uc0c1 \uc758\ub8cc\uae30\uad00 \uc124\uce58 \ubc0f \uc9c0\ub3c4\uac10\ub3c5 \uc9c0\ubc29\uacf5\uae30\uc5c5", "4346": "\uc11c\uc6b8\uc2dc", "4347": "\uc0b0\uc5c5\uc785\uc9c0 \ubc0f \uac1c\ubc1c\uc5d0 \uad00\ud55c \ubc95\ub960", "4348": "\uc18c\ubc29\uae30\ubcf8\ubc95 \uc18c\ubc29\uae30\uad00 \uc124\uce58", "4349": "empty", "4350": "empty", "4351": "empty", "4352": "empty", "4353": "14\uac1c \uacfc\uc815 (\uc911\uacf5\uad50) 79", "4354": "\ub9c8\ub9ac\ubcf8\uc0b0\ubd80\uc778\uacfc", "4355": "\uc2dd\uc57d\uccad", "4356": "empty", "4357": "empty", "4358": "10\uc6d4", "4359": "13,100\uc6d0/\uc6d4", "4360": "empty", "4361": "empty", "4362": "empty", "4363": "\ubc14\uc774\uc624 \ubca4\ucc98\uc0ac", "4364": "empty", "4365": "\uce60\ub808(\uc774\uc2a4\ud130\uc12c) \ubd81\ubbf8(1\uac1c\uad6d) \ubbf8\uad6d", "4366": "empty", "4367": "\uc804\ubd81 \uad70\uc0b0\uc2dc \ud574\ub9dd\ub85c 250", "4368": "empty", "4369": "empty", "4370": "\uba40\ud2f0\ub808\ubca8 RAID \uad6c\uc870\ub97c \uc704\ud55c \ud558\uc774\ube0c\ub9ac\ub4dc \uc800\uc7a5 \uc2dc\uc2a4\ud15c", "4371": "empty", "4372": "1", "4373": "540\uac1c\uc18c 530\uac1c\uc18c", "4374": "empty", "4375": "\ubc31\ubc94 \uae30\ub150\ud68c\uad00", "4376": "700\uba85", "4377": "\uc804\ubd81\uc13c\ud130", "4378": "empty", "4379": "\uc6b4\ub3d9\uc778\uc9c0\uae30\ub2a5 \uc7ac\ud65c\uc5f0\uad6c\uacfc", "4380": "empty", "4381": "214\uac74/397\uba85", "4382": "empty", "4383": "empty", "4384": "empty", "4385": "empty", "4386": "empty", "4387": "empty", "4388": "empty", "4389": "17", "4390": "empty", "4391": "\uc2dc\ubbfc\ud55c\ub9c8\ub2f9 6.10. 5.18 \ubbfc\uc8fc\uad11\uc7a5", "4392": "\uacbd\ube44\uacbd\ucc30\ubc95\uaddc\uc9d1", "4393": "empty", "4394": "500\uba85", "4395": "empty", "4396": "\uacf5\uc124\uc6b4\ub3d9\uc7a5", "4397": "\ubcf4\uc131\uad70", "4398": "10,141\uba85 3,016\uba85", "4399": "48\uba85", "4400": "\uc758\ub8cc\uad00\uad11\uc0ac\uc5c5 \uc131\uacfc \ubc0f \ud65c\uc131\ud654 \ub300\ucc45 \uc81c4\ucc28", "4401": "\uc6c5\ucc9c\uacf5\uc6d0", "4402": "empty", "4403": "1\uba85 \uc774\uc0c1", "4404": "empty", "4405": "19\uc138\ubbf8\ub9cc\uad6c\uc785\ubd88\uac00", "4406": "empty", "4407": "empty", "4408": "empty", "4409": "6\uac1c", "4410": "empty", "4411": "\uc804\ubd81 \uc775\uc0b0\uc2dc", "4412": "empty", "4413": "1963 \uad6d\ub9bd\uc601\ud654\uc81c\uc791\uc18c", "4414": "empty", "4415": "130\uba85", "4416": "300\ub9cc\uc6d0", "4417": "\uc911\uad6d\uc778\uc774 \ubc14\ub77c\ubcf4\ub294 \ud55c\uad6d", "4418": "empty", "4419": "\uc218\ucd9c\uc785\uc740\ud589", "4420": "\uc6d0\uaca9\uc758\ub8cc \uae30\uad00, \uac74\uac15\uad00\ub9ac\uc5c5, \uc9c4\ub8cc\uc815\ubcf4\uad00\ub9ac\uc5c5", "4421": "empty", "4422": "\uc9c0\uc5ed\uc548\uc804\uc9c0\uc218", "4423": "\ucd5c\uc800\uc8fc\uac70\uc5ec\uac74 \uc870\uc131", "4424": "empty", "4425": "empty", "4426": "\ub300\uad6c\uc911\uad6c\uc2dc\ub2c8\uc5b4\ud074\ub7fd", "4427": "empty", "4428": "empty", "4429": "10%", "4430": "\uc758\uc57d\ud488 \ubc0f \uc758\ub8cc\uc7a5\ube44", "4431": "\ud0c0\uc728\uc801", "4432": "3\ub144", "4433": "empty", "4434": "empty", "4435": "empty", "4436": "\uc9c0\ubc29\uc758\ub8cc\uc6d0\uc758\uc124\ub9bd \ubc0f \uc6b4\uc601\uc5d0 \uad00\ud55c \ubc95\ub960\uc81c21\uc870", "4437": "1\uc810", "4438": "\uc2dc\u2024\ub3c4 \uc870\ub840\ub85c \uc124\uc815", "4439": "6\uac1c \ud56d\ubaa9(\ubc30\uc810) 20\uac1c \ud56d\ubaa9 100", "4440": "empty", "4441": "empty", "4442": "\uac15\ub989\uc544\uc0b0\ubcd1\uc6d0", "4443": "40\uba85", "4444": "\uacbd\ub9e4\uae30\uac04 \u318d\uad00\ub9ac\uc790\uac00 \uc2dc\uc791\u2027\uc885\ub8cc\uc77c\uc790 \uc77c\uad04 \uc124\uc815(2\uc8fc", "4445": "\uba85\uc9c4\ubcf4\uc721\uc6d0", "4446": "3\ub144 \uac04", "4447": "empty", "4448": "60\uc810 6\ub4f1 50\uc810 11\ub4f1 40\uc810", "4449": "2006\ub144 9\uc6d4 1\uc77c ~ 9\uc6d4 17\uc77c", "4450": "empty", "4451": "\ub2f9\ub1e8 \uac80\uc0ac \uc11c\ube44\uc2a4", "4452": "OECD E-\ub9ac\ub354\uc2a4 \uc11c\uc6b8\ud68c\uc758", "4453": "empty", "4454": "empty", "4455": "empty", "4456": "empty", "4457": "3\ubc31\ub9cc\uc6d0", "4458": "empty", "4459": "4\ucc28\uc2dc", "4460": "\uc815\ubcf4\ud654\uc804\ub7b5\uc2e4", "4461": "empty", "4462": "empty", "4463": "empty", "4464": "empty", "4465": "\uc7ac\ub09c\uc548\uc804\ubc95\ub839, \uc704\uae30\uad00\ub9ac, \ube44\uc0c1\ub300\ube44 \ub4f1 \uc9c0\ubc29\ud589\uc815", "4466": "3\ub144", "4467": "22\uba85", "4468": "empty", "4469": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc885\ub85c\uad6c", "4470": "\ub3d9\uad6c \ucd08\ub7c9\ub3d9 \ucd08\ub7c9 \uc774\ubc14\uad6c\uae38 \uc778\uacf5\uc790\uc6d0", "4471": "\ud55c\uad6d\uac74\uac15\uac00\uc815 \uc9c4\ud765\uc6d0 \ubd80\ubaa8\uad50\uc721", "4472": "\ud55c\uad6d\uac74\uac15 \uac00\uc815\uc9c4\ud765\uc6d0", "4473": "\uc8fc\ub2c8\uac00\ud0c0 \ucd1d\uc601\uc0ac\uad00", "4474": "empty", "4475": "empty", "4476": "empty", "4477": "empty", "4478": "\ud55c\uad6d\uac74\uac15\uac00\uc815\uc9c4\ud765\uc6d0", "4479": "5.4%", "4480": "\ub300\uad6c\uc2dc\ub2c8\uc5b4\ud074\ub7fd", "4481": "30\uba85", "4482": "empty", "4483": "111\uba85", "4484": "1 10-2001-55250", "4485": "700\ub9cc\uc6d0", "4486": "empty", "4487": "26.5\uba85", "4488": "empty", "4489": "empty", "4490": "empty", "4491": "empty", "4492": "\uac74\uac15\ubcf4\ud5d8 \uc678\ub798 \uc57d\uc81c", "4493": "\ub9e4\ud2b8\ub85c\uc0ac\uc5c5\ub2e8", "4494": "empty", "4495": "empty", "4496": "empty", "4497": "empty", "4498": "empty", "4499": "\ucc9c\ub9c8, \ubc24, \uac80\uc815\ucf69, \ub300\ucd94, \uac10\ucd08", "4500": "\ud569\uaca9\uc99d\uba85\uc11c(\uad6d\ubb38)\uc131\uc801\uc99d\uba85\uc11c", "4501": "empty", "4502": "\ubbf8\uad6d", "4503": "empty", "4504": "empty", "4505": "\ud55c\ubcf5\uc55e\uce58\ub9c8, \uc0dd\ud65c\ud55c\ubcf5 \ub4f1 \ub9c8\uc744\uae30\uc5c5", "4506": "empty", "4507": "\ud64d\ubcf4 \uc9c0\uc6d0", "4508": "\uc804\uc0b0\uc8fc\uc0ac\ubcf4", "4509": "\ub0a0\uc528 \uae30\uc0c1\ud2b9\ubcf4 \ubc0f \uc608\ubcf4", "4510": "41\uba85", "4511": "8\uac1c", "4512": "BEXCO", "4513": "\ubb3c\ub958 \ucee8\uc124\ud134\ud2b8, \ubb3c\ub958 \ube45\ub370\uc774\ud130 \uc804\ubb38\uac00, \ubb3c\ub958\uacf5\uae09\ub9dd \uad00\ub9ac\uc790", "4514": "empty", "4515": "empty", "4516": "\u00b7\uccad\uc18c\ub144\ubcf4\ud638\ubc95", "4517": "empty", "4518": "\uacf5\uc5f0\uc7a5 \uc6b4\uc601\uc815\ubcf4 \ud1b5\ud569 \uc804\uc0b0\ub9dd \uad6c\ucd95", "4519": "empty", "4520": "empty", "4521": "1\uba85", "4522": "28.6%", "4523": "empty", "4524": "\uac15\uc6d0 \uac15\ub989 \uc131\uc0b0 \uc5b4\ud758", "4525": "85.7%", "4526": "empty", "4527": "10\ub144 20\ub144", "4528": "\uac15\uc6d0", "4529": "\uc5f0\uc138\ub300\ud559\uad50 \uac00\uc5914\uc885\ud569\uc0ac\ud68c\ubcf5\uc9c0\uad00", "4530": "empty", "4531": "\ud574\uc218\ubd80 \ud574\uc591\uc0ac\uace0\uc758 \uc870\uc0ac \ubc0f \uc2ec\ud310\uc5d0 \uad00\ud55c \ubc95\ub960 \ud574\uc591\uc548\uc804\uc2ec\ud310\uc6d0", "4532": "\uc804\ubd81 \uace0\ucc3d\uad70", "4533": "30% \uc774\uc0c1", "4534": "\uc601\ud654\ud544\ub984 1\uac74", "4535": "\ub9c8\uc744\ud1a0\ub860 \ud65c\uc131\ud654 - \ub2f9\uc9c4 3\ub3d9 \uc0ac\ub791\ubc29 \ud1a0\ub860\ud68c\ub97c \ud1b5\ud55c \ub9c8\uc744\ubbfc\uc6d0 \ud574\uacb0", "4536": "\ucc9c\uc548\uc2dc\uccad", "4537": "empty", "4538": "empty", "4539": "empty", "4540": "\uc870\ucc3d\uc218", "4541": "empty", "4542": "empty", "4543": "\uad6d\ucc44\ubcf4\uc0c1\uc6b4\ub3d9\uae30\ub150\uacf5\uc6d0", "4544": "6\ud68c", "4545": "empty", "4546": "\ubc31\ubc94\uae30\ub150\uad00", "4547": "empty", "4548": "1.1m", "4549": "\uc2dc\uc6d0\ud55c \uc7a5\uc18c\uc5d0\uc11c \ud734\uc2dd\uc744 \ucde8\ud558\uace0 \uc218\ubd84\uc744 \uc12d\ucde8", "4550": "\ubb38\ud654\uc608\uc220\ud68c\uad00", "4551": "\uacbd\uc601\ud3c9\uac00\u00b7\ucc45\uc784\uc131 \uac15\ud654", "4552": "empty", "4553": "\uadfc\uc721\uc758 \uacbd\ub828\uacfc \ud1b5\uc99d\uc744 \uc218\ubc18\ud569\ub2c8\ub2e4.", "4554": "empty", "4555": "60.3% 77%", "4556": "empty", "4557": "1\uc77c\ub2f9 \ucd5c\ub300 4\uac1c\uc758 \ubc94\uc704 \ub0b4, \ucc98\ubc29\uae30\uac04\uc740 1\ud68c 90\uc77c \uc774\ub0b4", "4558": "empty", "4559": "\uad6d\uc138\uccad\uae30\uc220\uc5f0\uad6c\uc18c", "4560": "\uc5f4\uacbd\ub828", "4561": "empty", "4562": "empty", "4563": "\ud55c\uad6d\ud56d\uacf5\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "4564": "25.5% 20%", "4565": "\uac15\ub989\uc6d0\uc8fc\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "4566": "\uacbd\uae30\ub3c4", "4567": "empty", "4568": "106\uc5b5\uc6d0", "4569": "1,000\uba85", "4570": "empty", "4571": "40 26", "4572": "\uc218\uc18c \uacb0\ud569 \ud6a8\uacfc \uc785\uc99d", "4573": "700\uba85", "4574": "\ucd9c\uc785\uad6d\uad00\ub9ac\uc0ac\ubb34\uc18c", "4575": "1\ud68c", "4576": "empty", "4577": "32\uba85", "4578": "empty", "4579": "empty", "4580": "empty", "4581": "1,500\uc6d0", "4582": "empty", "4583": "4\uba85", "4584": "empty", "4585": "60\ubd84", "4586": "\uccad\uc640\ub300, \ud589\uc548\ubd80, \uc0b0\ub9bc\uccad, \uac15\uc6d0\ub3c4 \ub4f1 5\uac1c \uae30\uad00", "4587": "\ubd80\uc0b0 \uc0ac\uc0c1\uad6c", "4588": "46%", "4589": "empty", "4590": "\uc11c\uc6b8 \ubc0f \uc804\uc8fc \ud22c\uc5b4", "4591": "empty", "4592": "1.3% 1.0% \uad50\uc721\ubd80", "4593": "\uc804\ub77c\ubd81\ub3c4\uccad \ud55c\uad6d\uad6d\ud1a0 \uc815\ubcf4\uacf5\uc0ac", "4594": "23\ub9cc\uac1c", "4595": "empty", "4596": "76.5% 83%", "4597": "empty", "4598": "empty", "4599": "399 mg/dl", "4600": "empty", "4601": "20\uac1c", "4602": "\ud574\uad70 \uc815\ube44\ucc3d\ub300\uad6c\uad11\uc5ed\uc2dc \uc911\uad6c \uac74\uac15\ubcf4\ud5d8\uc2ec\uc0ac\ud3c9\uac00\uc6d0", "4603": "\uc11c\ubb38\uc2dc\uc7a5", "4604": "empty", "4605": "\ud734\uac8c\uc74c\uc2dd\uc810", "4606": "5\uba85 \uc774\ub0b4", "4607": "empty", "4608": "\ubaa8\ubc14\uc77c \ud658\uacbd", "4609": "\uad50\ud1b5\ube44", "4610": "\ucc99\ucd94\uce58\ub8cc \uc81c\uc8fc\ud55c\ub77c\ubcd1\uc6d0", "4611": "empty", "4612": "3\ub2e8\uacc4", "4613": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80", "4614": "empty", "4615": "empty", "4616": "empty", "4617": "empty", "4618": "empty", "4619": "\ubbf8\uad6d, \ub374\ub9c8\ud06c", "4620": "6\uc6d4", "4621": "30\ub300\uc640 60\ub300\uc774\uc0c1\uc758 \uc120\ud638\uac00 \ub192\uc558\uc73c\uba70, \ub2e4\uc74c\uc73c\ub85c 50\ub300", "4622": "empty", "4623": "\uacf5\ubb34\uc6d0\ubbf8\uc220\ub300\uc804 \uc218\uc0c1\uc790 \uc791\ud488", "4624": "empty", "4625": "\uc138\uacc4\ucd5c\ucd08 \ub098\ub178\uae30\uc220\uc744 \uc774\uc6a9\ud55c \ub3c5\ub9bd\uc801 \uc790\ub3d9\ud654\uc7ac\uc9c4\uc555 \uc2dc\uc2a4\ud15c", "4626": "empty", "4627": "20%\uc774\uc0c1", "4628": "\ub300\uad6c \uc131\uc11c\ubcf5\uc9c0\uad00", "4629": "empty", "4630": "empty", "4631": "\uacbd\uae30 \uc2dc\ud765\uc2dc", "4632": "empty", "4633": "300\ub9cc\uc6d0 \uc774\ub0b4", "4634": "empty", "4635": "empty", "4636": "6\uba85", "4637": "12\uac1c\uc6d4", "4638": "50\uac1c\uc18c", "4639": "\ub4f1\uad50\uc911\uc9c0", "4640": "empty", "4641": "\uad6d\ub9bd \ud658\uacbd\uacfc\ud559\uc6d0", "4642": "\uc77c\uc2dc\uc801 \uc758\uc2dd\uc18c\uc2e4", "4643": "empty", "4644": "20-24\uc8fc", "4645": "316,000\uc6d0", "4646": "\uc2e0\ud63c\ubd80\ubd80\ucd9c\ubc1c\uc9c0\uc6d0", "4647": "empty", "4648": "\ubcf5\uc9c0\ubd80", "4649": "empty", "4650": "\uadfc\uc721\uacbd\ub828, \ud53c\ub85c\uac10", "4651": "5\ubc31\ub9cc\uc6d0", "4652": "empty", "4653": "empty", "4654": "empty", "4655": "empty", "4656": "\ud3ec\uc2a4\ucf54", "4657": "14,815\uac1c", "4658": "\uac11\uc0c1\uc120, \uc720\ubc29", "4659": "\uc790\uad81\uacbd\ubd80\uc554", "4660": "empty", "4661": "\uc7ac\uc815\ud22c\uc790\uacc4\ud68d", "4662": "empty", "4663": "1", "4664": "16\uc870 8,236\uc5b5\uc6d0", "4665": "200\ub9cc\uc6d0", "4666": "empty", "4667": "empty", "4668": "empty", "4669": "empty", "4670": "40", "4671": "34.0", "4672": "\uc885\ub85c\uad6c 5.23(\ud1a0) \uc815\ub3c5\ub3c4\uc11c\uad00", "4673": "empty", "4674": "1\uae09", "4675": "empty", "4676": "2\uae09", "4677": "empty", "4678": "empty", "4679": "empty", "4680": "empty", "4681": "empty", "4682": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "4683": "\ubd80\uc0b0\uad11\uc5ed\uc2dc BEXCO \uc81c2\uc804\uc2dc\uc7a5 302\ud638", "4684": "\uc870\uc0ac\uac10\uc2dc\uad6d\uc7a5", "4685": "25\uac1c\uc6d4", "4686": "524\uc5b5\uc6d0", "4687": "empty", "4688": "empty", "4689": "empty", "4690": "\ub098\ud640\ub85c \uc544\ub3d9 \uac00\uc815 \ub3cc\ubd04 \uc11c\ube44\uc2a4, \uc815\uc2e0\uac74\uac15 \ucf00\uc5b4\uc11c\ube44\uc2a4", "4691": "\uc11c\uc6b8\uc2dc\ub9bd\uc2b9\ud654\uc6d0", "4692": "19\uc810 \uc774\ud558\uc778 \uc0ac\ub78c", "4693": "\ubaa8\ub178\ub9ac\uc2a4\ud50c\ub79c", "4694": "empty", "4695": "empty", "4696": "empty", "4697": "empty", "4698": "empty", "4699": "2\uae09", "4700": "\uc11c\uc6b8\uc1a1\ub3c4\ubcd1\uc6d0", "4701": "10\ub144", "4702": "\uc131\uade0\uad00\ub300\ud559\uad50", "4703": "\ucd1d\uc790\uc0b0\uc99d\uac00\uc728", "4704": "40\uc774\ud558\uc778 \uc0ac\ub78c", "4705": "empty", "4706": "empty", "4707": "1 \ub110(Null) \ud3ec\uc778\ud130 \uc5ed\ucc38\uc870", "4708": "empty", "4709": "1,168,750\uc6d0", "4710": "empty", "4711": "2 \uc81c\uac70\ub418\uc9c0 \uc54a\uace0 \ub0a8\uc740 \ub514\ubc84\uadf8 \ucf54\ub4dc", "4712": "5\uae09 - \ud3d0\ub97c \uc774\uc2dd\ubc1b\uc740 \uc0ac\ub78c", "4713": "\uccad\ub3c4\uad70", "4714": "empty", "4715": "empty", "4716": "150\uba85", "4717": "8\ud68c \uc774\uc0c1\uc744 \ud3ec\ud568\ud558\uc5ec \uc5f0 6\uc6d4", "4718": "empty", "4719": "\uc2a4\ub9c8\ud2b8\uc704\ud0dd\uc2a4", "4720": "empty", "4721": "21.3\ub300 22.8\ub300", "4722": "30(\ud604\ud589)\u219250%", "4723": "\ud3ec\ub974\ud22c\uac08", "4724": "\ubcf4\uac74\ubcf5\uc9c0\uac00\uc871\ubd80", "4725": "30%", "4726": "19,782\uba85", "4727": "22\uac1c", "4728": "empty", "4729": "\uad8c\uc5ed\uc751\uae09\uc13c\ud130", "4730": "empty", "4731": "\uad6d\ubbfc\uc5f0\uae08", "4732": "\ub450\ub958\uacf5\uc6d0", "4733": "0.25mcg", "4734": "empty", "4735": "60 59 \u25b31", "4736": "empty", "4737": "empty", "4738": "empty", "4739": "\uba74\uc5ed\ubc31\uc2e0\uac1c\ubc1c", "4740": "\uc9c1\uc5c5\ub2a5\ub825\uac1c\ubc1c\uc815\ubcf4 \ub4f1 16\uac1c", "4741": "\uc804\ub77c \ub0a8\ub3c4", "4742": "empty", "4743": "30(\ud604\ud589)\u219250%", "4744": "\uace0\ud608\uc555\u00b7\ub2f9\ub1e8\ubcd1\ub4f1\ub85d\uc2dc\ubc94\uc0ac\uc5c5 \ud655\ub300", "4745": "\uad6d\uc870\uc2e4", "4746": "empty", "4747": "\uc774\ub780", "4748": "\uae00\ub85c\ubc8c \uc7ac\uc0dd\uc758\ub8cc \uc0ac\uc5c5\ub2e8", "4749": "empty", "4750": "\ubaa9\ud3ec\ud55c\uad6d\ubcd1\uc6d0 \ucd5c\uc6b0\uc218", "4751": "50\uc5b5 3,769\uba85", "4752": "\ud604\ub300\uc790\ub3d9\ucc28(\uc8fc) \ube14\ub8e8\ud578\uc988 \uc790\ub3d9\ucc28 \uc7a5\uad00", "4753": "\uacbd\uae30 \uc758\uc815\ubd80", "4754": "empty", "4755": "empty", "4756": "\ud5c8\uc900, \ub958\uc758\ud0dc \ub4f1 \ub9ce\uc740 \uba85\uc758 \ubc30\ucd9c \uace0\uc7a5", "4757": "\uad00\uad11\uc548\ub0b4 AR \uc99d\uac15\ud604\uc2e4", "4758": "1964\ub144", "4759": "\uc758\ub8cc\uac1c\uc120\ud300", "4760": "EXPO \uc8fc\uc81c\uad00", "4761": "10\uac74", "4762": "125", "4763": "52.3% \uc5ec\uc790 5.7%", "4764": "\uc218\ud559\uc801 \ud0d0\uad6c\ud558\uae30", "4765": "20% \uac00\uc0b0 \ubc18\uc601 (\ub178\uc778, \uc7a5\uc560\uc778, \uc544\ub3d9, \uae30\ucd08\uc0dd\ud65c \uc218\uae09\uad8c\uc790) \uff65 23%", "4766": "\ubcf4\ud5d8\uae09\uc5ec\uacfc", "4767": "21\uac1c\uc18c", "4768": "\ud0d0\uad6c\ud558\ub294 \ud0dc\ub3c4 \uae30\ub974\uae30", "4769": "18.\uc0c1\uae09\uc885\ud569\ubcd1\uc6d0 \uc9c0\uc815\uae30\uc900 \uac1c\uc120 \uc758\ub8cc\uae30\uad00\uc815\ucc45\uacfc", "4770": "1\uac1c\uc18c", "4771": "empty", "4772": "empty", "4773": "\uc9c0\uacbd\ubd80, \ubcf5\uc9c0\ubd80", "4774": "empty", "4775": "\ubcf4\uac74\uc758\ub8cc\ubd84\uc57c R&D \ud65c\uc131\ud654", "4776": "empty", "4777": "empty", "4778": "empty", "4779": "empty", "4780": "empty", "4781": "empty", "4782": "400\uc5b5\uc6d0", "4783": "\ud55c\uad6d\ud658\uacbd\uacf5\ub2e8", "4784": "\ub300\ub9cc", "4785": "120mg\ubbf8\ub9cc \uac04\uc2dd\uc6a9 \ud669\uc0c9 3g\uc774\uc0c1, 9g\uc774\ud558", "4786": "empty", "4787": "\ubcc0\ub9ac\uc0ac, \uad50\uc218 \ub4f1 \uc9c1\ubb34\ubc1c\uba85 \ucee8\uc124\ud305 \uc804\ubb38\uac00", "4788": "empty", "4789": "\ub300\ud615\ubcd1\uc6d0 \uc77c\ubc18\ubcd1\uc0c1 \ubc30\uce58 \ud655\ub300", "4790": "4", "4791": "2\uc778 1\uc870 \uace8\ub4e0\ubca8", "4792": "empty", "4793": "empty", "4794": "\ud5c8\uc149\ud2f4", "4795": "1\uac1c\uc6d4", "4796": "\uc804\ub77c\ubd81\ub3c4 \uc815\uc74d\uc2dc", "4797": "1949", "4798": "empty", "4799": "21.8\uba85", "4800": "6 \uad6d\ub9bd\uacfc\ud559\uc218\uc0ac\uc5f0\uad6c\uc6d0", "4801": "empty", "4802": "\ubbfc\uac04\uc7ac\uc6d0 \uacf5\uc911\ubcf4\uac74 \ubc0f \uad00\ub9ac\uc6b4\uc601", "4803": "237\ub9cc\uac1c", "4804": "\ub514\uc9c0\ud138\uc0ac\ud68c\ud601\uc2e0\ubd84\uacfc", "4805": "empty", "4806": "empty", "4807": "\uc8fc\uc2dd\ud68c\uc0ac\uc77c\uc624\uc0bc\uc218\uc911\uacf5\uc0ac", "4808": "R&D \uc9c0\uc6d0", "4809": "\uc9c0\ubc29 \ubd84\uad8c\ud615 \uac1c\ud5cc \uc9c0\uc6d0", "4810": "\uacf5\uac04\uc815\ubcf4 \uad6d\ud1a0\ubd80", "4811": "empty", "4812": "\uc740\ud604 \uc5b4\ub9b0\uc774\uc9d1", "4813": "\ub300\uc804\uad11\uc5ed\uc2dc", "4814": "IBK\uae30\uc5c5\uc740\ud589", "4815": "empty", "4816": "empty", "4817": "\uc5c6\uc74c \uc2ec\uc0ac \ucc29\uc218 \uc804 \uac1c\ucd5c", "4818": "\ubbfc\uac04\uc804\ubb38\uac00 \ucee8\uc124\ud305 \uc9c0\uc6d0 \ub4f1 \ucc38\uc5ec\ud559\uad50 \ud6c8\ub828 \ud504\ub85c\uadf8\ub7a8 \uae30\ud68d\uff65\uc6b4\uc601 \uad50\uc721\ubd80", "4819": "empty", "4820": "10\uc2dc\uc911\uc559 1\ube0c\ub9ac\ud551\uc2e4", "4821": "31\uba85", "4822": "empty", "4823": "empty", "4824": "empty", "4825": "empty", "4826": "empty", "4827": "\uc790\ubb38\uc5d0 \ub530\ub77c \uc758\uacb0\uad8c\uc744 \ud589\uc0ac\ud560 \uc218 \uc788\ub2e4", "4828": "\uc804\uad6d\ubbfc", "4829": "12,600\uc6d0", "4830": "empty", "4831": "\uc804\uae30\ucc28\ucda9\uc804\uc18cDB", "4832": "2 \uc6b0\uc218\uc0c1", "4833": "empty", "4834": "CJ \uc81c\uc77c\uc81c\ub2f9", "4835": "\ub124\ud314", "4836": "\ubbf8\ub798\ubd80 \uc7a5\uad00", "4837": "40\ud37c\uc13c\ud2b8", "4838": "\uae40\u25cb\u25cb", "4839": "\uc11c\uc6b8 \uc0bc\uc131\ub3d9 \ucf54\uc5d1\uc2a4", "4840": "14.3\uc870(244%) \uacbd\uc601\uc190\uc775 \u25b31.5\uc870", "4841": "empty", "4842": "empty", "4843": "6\uae094\ud638 - \ud55c \ub2e4\ub9ac\ub97c \ub9ac\uc2a4\ud504\ub791\uad00\uc808 \uc774\uc0c1 \ubd80\uc704\uc5d0\uc11c \uc783\uc740 \uc0ac\ub78c", "4844": "empty", "4845": "40\ud3ec\ub300 2\ubc31\ub9cc\uc6d0", "4846": "4\uae091\ud638", "4847": "empty", "4848": "6.14(\uae08) 16:00 \uac10\uc0ac\uad00 \ub4f1 3\uba85", "4849": "empty", "4850": "empty", "4851": "empty", "4852": "\uc6b4\ub3d9 \uc778\uc9c0 \uae30\ub2a5 \uc7ac\ud65c\uc5f0\uad6c\uacfc", "4853": "empty", "4854": "\ub514\uc790\uc778\uc2ec\uc0ac\uc815\ucc45\uacfc", "4855": "empty", "4856": "empty", "4857": "KBS-1 28\ud68c\uc911 2\ud68c", "4858": "\ub300\uad6c", "4859": "empty", "4860": "empty", "4861": "1\uae09", "4862": "\uc5f0\ucc9c\uad70", "4863": "empty", "4864": "empty", "4865": "empty", "4866": "\uc815\uc810\ud6c4\ubc18", "4867": "\ud55c\uad6d\uc758 \uc9c0\uc7ac\uad8c\uc9d1\ud589\ud65c\ub3d9 \ud55c\uad6d\uae30\uc5c5\uc758 \uc9c0\uc7ac\uad8c\ubcf4\ud638\ud65c\ub3d9 \ud55c\uad6d", "4868": "empty", "4869": "\uac74\uac15\ud55c \uc0dd\ud65c", "4870": "empty", "4871": "empty", "4872": "empty", "4873": "\uba85\ub2e8\uacf5\uac1c", "4874": "1,000\uba85", "4875": "\ub300\uc804\uad11\uc5ed\uc2dc \ub3c4\uc2dc\ucca0\ub3c4\uacf5\uc0ac", "4876": "\ud589\uc815\uc548\uc804\ubd80", "4877": "\uc5b4\ub9b0\uc774\ubd80\ud130 \ub178\uc778\uae4c\uc9c0 100\uc138\uacf5\ub3d9\uccb4 \ud65c\uc131\ud654\uc0ac\uc5c5", "4878": "empty", "4879": "100%\uc9c0\uc6d0", "4880": "empty", "4881": "20%", "4882": "empty", "4883": "empty", "4884": "empty", "4885": "\ud55c\uad6d \uc804\ub825 \uc804\uae30\uc694\uae08 \uac10\uba74", "4886": "2\uc790\ub140 \uacbd\uc6b0 20\uc138\uae4c\uc9c0 \ud55c\uc790\ub140\ub2f9 10%, \uc14b\uc9f8\uc774\uc0c1 15% \uacbd\uac10", "4887": "12.65\uc77c 12.52\uc77c", "4888": "empty", "4889": "empty", "4890": "10\uc5b5", "4891": "\uc544\ub3d9\uc778\uc9c0\ub2a5\ub825\ud5a5\uc0c1\uc11c\ube44\uc2a4", "4892": "empty", "4893": "empty", "4894": "70\uc5ec\uba85", "4895": "5\ub144", "4896": "100\ub9cc\uc6d0", "4897": "\uc5b8\uc591\uc54c\ud504\uc2a4\uc2dc\uc7a5\ud611\ub3d9\uc870\ud569", "4898": "empty", "4899": "empty", "4900": "\uad11\ud654\ubb38 \uc815\ubd80\uccad\uc0ac 1\ube0c\ub9ac\ud551\uc2e4 \uc815\ucc45\ub2e8\uc7a5 \uc81c3\ud68c \ub300\ud55c\ubbfc\uad6d \uccad\uc18c\ub144 \ubc15\ub78c\ud68c \uac1c\ucd5c", "4901": "\uc778\uc290\ub9b0(Humulin) \ub2f9\ub1e8\ubcd1", "4902": "empty", "4903": "empty", "4904": "empty", "4905": "empty", "4906": "empty", "4907": "empty", "4908": "\uc0ac\ubb34\uc704\ud0c1 \u00b7\uc218\ud0c1 \uc9c0\uc790\uccb4", "4909": "210\uba85", "4910": "empty", "4911": "\uc774\ubd815\ub3c4\uccad", "4912": "empty", "4913": "\uc9c0\ub2a5\ud615 \uad6d\ubbfc\ube44\uc11c \uc11c\ube44\uc2a4 \uad6c\ucd95", "4914": "\uc804\ub77c\ubd81\ub3c4 \ub0a8\uc6d0\uc2dc", "4915": "1\uc778", "4916": "empty", "4917": "12\ub9cc 32\ub9cc", "4918": "\uc885\ud569\uc804\ubb38\uc694\uc591\uae30\uad00", "4919": "empty", "4920": "\uc0c8\ub9c8\uc744\uae08\uace0", "4921": "empty", "4922": "empty", "4923": "14.5\ucc9c\ub300", "4924": "25\uac1c\uc18c", "4925": "30\uba85, 15\uc77c", "4926": "\uc778\ub3c4\ub124\uc2dc\uc544", "4927": "empty", "4928": "empty", "4929": "4\uac1c\uc6d4/5\uac1c\uc6d4", "4930": "empty", "4931": "empty", "4932": "6. \uc548\uc804", "4933": "\ubcf4\uac74\uc758\ub8cc\uacfc\uc815", "4934": "empty", "4935": "46\ubd84 41\ubd84", "4936": "\uae30\uacc4/\uae08\uc18d \ubd84\uc57c", "4937": "2018\ub144 \ud3c9\ucc3d\ub3d9\uacc4\uc62c\ub9bc\ud53d \ud64d\ubcf4 \uc804\uad6d\uc790\uc804\uac70\ucea0\ud398\uc778", "4938": "\uc77c\uc77c\uadfc\ubb34\uc778\uc6d0", "4939": "\uc11c\uc6b8, \ubd80\uc0b0, \ub300\uad6c, \uc778\ucc9c, \uad11\uc8fc, \ub300\uc804, \uc6b8\uc0b0, \uc138\uc885 \u2461\ub3c4", "4940": "\ub300\uad6c \uc911\uad6c", "4941": "1\uac1c", "4942": "\uc778\ub3c4, \ud544\ub9ac\ud540, \ub9d0\ub808\uc774\uc2dc\uc544", "4943": "empty", "4944": "12\uac1c\uc6d4", "4945": "empty", "4946": "\ud669\uc0ac\uc885\ub8cc \ud6c4", "4947": "\uc6d4 30\ub9cc\uc6d0", "4948": "\uc18c\uc758 \uc99d\uc0c1", "4949": "empty", "4950": "\ub9cc1\uc138 \ubbf8\ub9cc", "4951": "\uae00\ub85c\ubc8c \ud658\uacbd \uc9c0\uc6d0", "4952": "50% \uc774\ud558 \uac00\uad6c 199\ub9cc\uc6d0 \uc774\ud558 \uc2dc\uac04\ub2f9 1,000\uc6d0", "4953": "16\uce35 \uc774\uc0c1 \uacf5\ub3d9\uc8fc\ud0dd 5\uce35 \uc774\uc0c1 15\uce35 \uc774\ud558", "4954": "62\uac74", "4955": "\ubd80\ubd80\ud074\ub9ac\ub2c9 \uc0ac\ub791\uacfc \uc804\uc7c1", "4956": "158\ucc9c\uc6d0", "4957": "empty", "4958": "266\ucc9c\uba85(58.8%) \uc57d 160\ucc9c\uba85", "4959": "153\ucc9c\uc6d0 / \uc6d4 158\ucc9c\uc6d0", "4960": "empty", "4961": "empty", "4962": "empty", "4963": "\ubaa8\uc790\ubcf4\uac74\ubc95 \uc81c28\uc870", "4964": "27\uc5b5\uc6d0", "4965": "\uad50\uc721\ubcf5\uc9c0 \ud559\ubd80\ubaa8", "4966": "empty", "4967": "empty", "4968": "empty", "4969": "empty", "4970": "empty", "4971": "70.0\uff05", "4972": "\uc77c\ubc18\uc9c4\ub8cc\uc9c8\ubcd1\uad70", "4973": "empty", "4974": "empty", "4975": "\uc911\uc559\ub178\uc778\ubcf4\ud638\uc804\ubb38\uae30\uad00 \ud648\ud398\uc774\uc9c0", "4976": "\uc6d4\uc18c\ub4dd 369\ub9cc\uc6d0", "4977": "\ucc9c\uc2dd \ucf5c \uc13c\ud130", "4978": "\uacbd\ubd81\uacbd\ucc30\uccad", "4979": "\uc6d4\uc18c\ub4dd 241\ub9cc\uc6d0", "4980": "empty", "4981": "19%", "4982": "30\ubc31\ub9cc\uc6d0", "4983": "empty", "4984": "empty", "4985": "\uac1c\uc778\ud68c\uc6d0", "4986": "empty", "4987": "empty", "4988": "19\uba85", "4989": "empty", "4990": "\ud734\uac8c\uc74c\uc2dd\uc810 \uc601\uc5c5\uc790 6 3 \ud734\uac8c\uc2e4\uc5c5\uc911\uc559\ud68c", "4991": "\ud55c\uad6d\ubc29\uc1a1\uad11\uace0\uacf5\uc0ac", "4992": "\uad70 \uc790\ub140 \uae30\uc219\uc0ac \uc6b0\uc120\uad8c \ubd80\uc5ec \ub4f1 \ub300\uac80\ucc30\uccad", "4993": "empty", "4994": "empty", "4995": "\ubc1c\uba85\uc790", "4996": "341\uc5b5", "4997": "\ud68c\ub2f9 2\ub9cc\uc6d0\uc6d4 16\ub9cc\uc6d0", "4998": "1963", "4999": "empty", "5000": "empty", "5001": "empty", "5002": "\ud30c\uae09\uc131", "5003": "179\uac1c\uc18c", "5004": "54,070\uc6d0\uae4c\uc9c0", "5005": "empty", "5006": "empty", "5007": "\ud55c\uad6d\uacf5\uacf5\uad50\ud1b5APP", "5008": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "5009": "\uafc8\uafc0\ud1b5 3\uba85 \uccad\ub144 \uc170\uc5b4 \ud558\uc6b0\uc2a4 \uc6b4\uc601 \ub300\uc804 \u321c\uc778\ud130\ud50c\ub808\uc774", "5010": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "5011": "\uc2ec\uc7a5\uc9c8\ud658", "5012": "\ubd80\ub3d9\uc0b0", "5013": "empty", "5014": "empty", "5015": "empty", "5016": "empty", "5017": "1\uac1c\uc6d4 \uc9c0\uc6d0", "5018": "\uc2ec\uccad\ucd95\uc81c\uc704\uc6d0\ud68c", "5019": "\ub300\uad6c \ubd81\uad6c", "5020": "1\ud300", "5021": "489\uba85", "5022": "1997\ub144", "5023": "7\ubd84", "5024": "\uc9c0\ubc29\ud589\uc815\uc5f0\uc218\uc6d0\uc7a5", "5025": "empty", "5026": "empty", "5027": "empty", "5028": "empty", "5029": "empty", "5030": "1280.51", "5031": "16\uc704 \ub300\ud55c\ubbfc\uad6d 11\uac1c", "5032": "empty", "5033": "\ud504\ub7ad\ud074\ub9b0\ud15c\ud50c\ud134\ud22c\uc2e0\uc6b4\uc6a9", "5034": "empty", "5035": "empty", "5036": "\uc548\ub3d9\uc2dc \ubcf4\uac74\uc18c", "5037": "\uc790\ud61c\uc758\uc6d0", "5038": "\uc2dd\uc804\uacf5\uc5f0", "5039": "\uae08\uc735\uc704\uc6d0\ud68c", "5040": "1,000\ub9cc\uc6d0 \uc88c \ub3d9 \u25e6\ubd80\uc0c1\uc790 500\ub9cc\uc6d0", "5041": "\ubd80\uc0b0\uc11c\ubd80\uc9c0\uc2dd\uc7ac\uc0b0\uc13c\ud130", "5042": "\uc0ac\ud558\uad6c", "5043": "empty", "5044": "\uc601\uad6d \u25e6D5 \ucc3d\ub9bd\ud68c\uc758 2015.11\uc6d4 \uc5d0\uc2a4\ud1a0\ub2c8\uc544", "5045": "\uc81c2\uc601\uc5ed\uc790\uc6d0\ubd09\uc0ac \uc9c0\uc6d0 \uc778\ud504\ub77c", "5046": "empty", "5047": "empty", "5048": "\uc2a4\uc6e8\ub374", "5049": "50%", "5050": "608,116\uba85", "5051": "2\uac1c", "5052": "empty", "5053": "\uacbd\uc0c1\ub0a8\ub3c4 \ucc3d\uc6d0\uc2dc", "5054": "empty", "5055": "empty", "5056": "\ub310\uac74\uc124\uae30\ubcf8\uacc4\ud68d", "5057": "\ud68c\ubcf5 \uc18c\uc0c1\uacf5\uc778 \uc9c0\uc6d0, \ud22c\uae30\uadfc\uc808, \uc800\ucd9c\uc0b0 \uadf9\ubcf5", "5058": "\ud720\uccb4\uc5b4 \ud589\ubcf5\ub098\ub214 \uc0ac\uc5c5", "5059": "\ubcbd\uba74\ub179\ud654", "5060": "38,000\uc6d0", "5061": "empty", "5062": "empty", "5063": "\uc2b9\uac15\uae30 \uae30\uacc4\uc2e4", "5064": "\uac15\uc6d0\ub3c4 \ucd98\ucc9c\uc2dc", "5065": "\uac74\uac15\ubcf4\ud5d8\uacf5\ub2e8", "5066": "empty", "5067": "\uad6d\ud68c", "5068": "empty", "5069": "\uc11c\uc6b8\uc2dc\uc124\uacf5\ub2e8 \uc11c\uc6b8 (29) \uae30\ucd08 \uacf5\ub2e8 24", "5070": "\uae08\uc735\uc704\uc6d0\ud68c/\ud734\uba74\uc608\uae08\uad00\ub9ac\uc7ac\ub2e8", "5071": "\uad6d\ud1a0\uad50\ud1b5\ubd80 \ubd80\ub3d9\uc0b0\uac70\ub798\ubd84\uc11d\uae30\ud68d\ubc18", "5072": "\ud559\uc704 \u318d\ubc15\uc0ac\ud559\uc704 \uc18c\uc9c0\uc790", "5073": "empty", "5074": "\uc81c\uc8fc\uc2dc\uad50\uc721\uc9c0\uc6d0\uccad", "5075": "empty", "5076": "empty", "5077": "400\uba85 \ub300\uc0c1", "5078": "\uacbd\ub0a8\uac1c\ubc1c\uacf5\uc0ac", "5079": "empty", "5080": "\uacbd\uc0c1\ub0a8\ub3c4 \uc591\uc0b0\uc2dc", "5081": "\ub3c5\ub9bd\uae30\ub150\uad00", "5082": "empty", "5083": "\uad34\uc0b0 \uc218\ub825\ubc1c\uc804\uc18c \uac74\uc124\ud604\uc7a5", "5084": "1890\ub144 \uacbd \uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "5085": "empty", "5086": "empty", "5087": "0.8\uc774\ud558", "5088": "empty", "5089": "\uc625\uc870\uadfc\uc815\ud6c8\uc7a5", "5090": "empty", "5091": "empty", "5092": "1,222\uac1c", "5093": "\ud5a5\ud1a0\uc608\ube44\uad70\ucc3d\uc124", "5094": "\uacbd\ucc30\uccad \uacfc\ud559\uc218\uc0ac\uacc4", "5095": "\ub300\ud55c\ubcd1\uc6d0\ud611\ud68c", "5096": "empty", "5097": "\ub3c4\ubbf8\ub2c8\uce74\uacf5\ud654\uad6d", "5098": "empty", "5099": "45\uac1c\uad6d 1,518\uba85", "5100": "\ub3c4\uc0b0\uae30\ub150\uad00 17,000\uac74", "5101": "1977", "5102": "empty", "5103": "118\uc870~123\uc870", "5104": "empty", "5105": "400\uc6d0", "5106": "empty", "5107": "empty", "5108": "\uad6d\ubbfc\uac74\uac15\ubcf4\ud5d8\uacf5\ub2e8", "5109": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80", "5110": "\ud589\ubcf5COOP\uc544\uce74\ub370\ubbf82014", "5111": "\ub0a9\ubd80\uae30\ud55c \u2218 12.1\uc77c\ubd80\ud130 12\uc6d4 15\uc77c\uae4c\uc9c0", "5112": "empty", "5113": "empty", "5114": "\ubd80\uc0b0", "5115": "\ub0b4\ubb34\ud68c\ub179\uc0c9\uc0ac\ub791\ubd09\uc0ac\ub2e8", "5116": "\ub300\uad6c, \ub300\uc804 \ubd80\uc0b0, \uad11\uc8fc, \uc6b8\uc0b0", "5117": "empty", "5118": "empty", "5119": "empty", "5120": "\ud1b5\uacc4\uccad\ud55c\uad6d\ubb38\ud654\uad00\uad11\uc5f0\uad6c\uc6d0", "5121": "\ubaa8\ubc14\uc77c \uad6d\uac00\uc7ac\ub09c\uc548\uc804\uc815\ubcf4\uc13c\ud130", "5122": "empty", "5123": "\uc11c\uc6b8\uc6d0\uba85\ucd08\ub4f1\ud559\uad50", "5124": "\uc329\uc329 \uc2dc\uac04\uc774 \uc9c0\ub098\uac11\ub2c8\ub2e4", "5125": "19\uac1c\uc0ac", "5126": "empty", "5127": "empty", "5128": "empty", "5129": "\ubd80\uc0b0 \ud559\uc0ac\ucd08\ub4f1\ud559\uad50", "5130": "\uacbd\uae30 \ud55c\uad6d\ub514\uc9c0\ud138\ubbf8\ub514\uc5b4\uace0\ub4f1\ud559\uad50", "5131": "\uacc4\uc591\uad6c", "5132": "empty", "5133": "\uad6d\ubbfc\uc0dd\ud65c\uc5d0 \ub9e4\uc6b0 \ud070 \uc601\ud5a5\uc744 \ubbf8\uce58\ub294 \uc815\ucc45\uc5d0 \uad00\ud55c \uc815\ubcf4", "5134": "\uc0ac\ud68c\ubcf5\uc9c0", "5135": "? \uc5d0\ub108\uc9c0 \uc808\uc57d \uad50\uc721 \ubc0f \ud64d\ubcf4 \uac15\ud654", "5136": "\uae30\uc5c5\ud589\uc815", "5137": "\uc678\uad6d\uc5b4\ubc88\uc5ed \ud589\uc815\uc0ac", "5138": "empty", "5139": "6,301\ucc9c\uac74", "5140": "\ud55c\uad6d\uc815\ubcf4\ud654\uc9c4\ud765\uc6d0 \uc9c0\ud558\uac15\ub2f9 \uc815\ubd80\ub300\uc804\uccad\uc0ac \ub300\ud68c\uc758\uc2e4", "5141": "22\uba85 417\uba85 436\uba85 134\uba85", "5142": "\uc804\ub450\ud658\ub300\ud1b5\ub839 \ub0b4\uc678\ubd84 \uc81c5\uacf5\ud654\uad6d \ud5cc\ubc95 \uacf5\ud3ec\uc2dd \ucc38\uc11d", "5143": "\ud568\uc548\uad70", "5144": "\uc790\ub3d9\ucc28 \ubcf4\ud5d8\ub8cc \ube44\uad50\uacf5\uc2dc \uc870\ud68c \uc2dc\uc2a4\ud15c \uad6c\ucd95", "5145": "1\uc77c\ucc28", "5146": "empty", "5147": "\uad50\uc218 \ubd80\uad50\uc218 \uc774\uc0ac", "5148": "\uc21c\ucc9c\uc2dc \ub355\uc5f0\ub3d9 \uc8fc\ubbfc\uc790\uce58\uc704\uc6d0\ud68c \ud55c\uad6d\uc0b0\uc5c5\uc548\uc804\ubcf4\uac74\uacf5\ub2e8 \uc8fd\ub9bc\ucd08\ub4f1\ud559\uad50", "5149": "20%\uc774\ud558", "5150": "102\uba85", "5151": "empty", "5152": "10\ub144", "5153": "167\uac1c 80\uac1c", "5154": "\ud55c\uc591\ub300", "5155": "2\ubc31\ub9cc\uc6d0", "5156": "empty", "5157": "300\ub9cc\uc6d0 \uc774\ub0b4 700\ub9cc\uc6d0 \uc774\ub0b4", "5158": "empty", "5159": "14,416\uba85", "5160": "26\uac1c\uad6d2\uae30\uad6c 60\uba85", "5161": "empty", "5162": "empty", "5163": "empty", "5164": "\uc0b0\uc5c5\ubd80", "5165": "10", "5166": "\uc131\uade0\uad00\ub300", "5167": "empty", "5168": "\uc804\uc790\uacf5\ud559\uacfc 4\ud559\ub144", "5169": "24.5%p", "5170": "Massive MIMO \uae30\uc220", "5171": "\uad6d\ud1a0\ubd80", "5172": "\uae30\uc220\uae08\uc735", "5173": "\ud654\uacf5\uc0dd\uba85\uacf5\ud559\ubd80", "5174": "14\uac74", "5175": "7\uac1c\uc6d4", "5176": "\ud55c\uad6d\uc804\ud1b5\uc9c0\uc2dd\ud3ec\ud0c8", "5177": "35\ud68c", "5178": "\uc11c\uc6b8\uad6d\uc81c\ubc1c\uba85\uc804\uc2dc\ud68c \uc77c\uc2dc \uac1c\ub9c9\uc2dd", "5179": "\uc911\uc18c\uae30\uc5c5\ubd84\uacfc", "5180": "5\uac1c \uc5c5\uccb4 \uc9c0\uc6d0 3 ~ 11\uc6d4", "5181": "empty", "5182": "empty", "5183": "empty", "5184": "\uc624\uc874 \ubc1c\uc0dd\uc6a9 \uc790\uc678\uc120 \ub7a8\ud504", "5185": "empty", "5186": "\uad6d\uc81c\ucd9c\uc6d0\uacfc", "5187": "145", "5188": "\uc778\ucc9c \uc548\ub0a8\uc911(3\ub144) *** \ud654\uc7a5\uc2e4 \uc2ac\ub9ac\ud37c \ud68c\uc804 \uc815\ub9ac\ud310", "5189": "empty", "5190": "empty", "5191": "1000\uba85", "5192": "45\uba85 \ub0b4\uc678", "5193": "empty", "5194": "21\uac74", "5195": "8\ud68c/1,542\uba85 2~11\uc6d4", "5196": "empty", "5197": "empty", "5198": "empty", "5199": "\uc774\uc911\ucd9c\uc6d0", "5200": "\uc6b0\ub9ac\uc740\ud589", "5201": "empty", "5202": "empty", "5203": "\ub137\uce74\ud398 \ubc0f \ud734\uac8c\uc2e4", "5204": "empty", "5205": "\uac70\uc808\uacb0\uc815\ubd88\ubcf5\uc2ec\ud310", "5206": "empty", "5207": "\uc2a4\uc704\uc2a4 \uc774\ucf5c\ud3f4\ub9ac\ud14d", "5208": "\uc2dc\uc624\uc2a4", "5209": "empty", "5210": "\uc778\ucc9c \ubd80\ud3c9 \ub9ac\ud3fc\ub9d8\uc2a4 \ub9c8\uc744\uae30\uc5c5", "5211": "\ud06c\ub85c\uc2a4\uc0ac\uc774\ud2b8 \uc694\uccad \uc704\uc870", "5212": "9", "5213": "empty", "5214": "1 DNS lookup\uc5d0 \uc758\uc874\ud55c \ubcf4\uc548\uacb0\uc815", "5215": "empty", "5216": "\uc81c\uc77c\ud654\uc7ac\ud574\uc0c1\ubcf4\ud5d8(\uae08\uc735) \uc8fc\uc2dd\ucde8\ub4dd LS \ud55c\uc131", "5217": "empty", "5218": "\u321c\uc9c4\ud654\uae30\uc220\uacf5\uc0ac", "5219": "empty", "5220": "\uc2a4\uc704\uc2a4", "5221": "60%\uc774\uc0c1 80%\uc774\ud558 3\uc5b5 \uc6d0\uc774\uc0c1 5\uc5b5 \uc6d0\uc774\ud558", "5222": "10\uc77c\uc804\uae4c\uc9c0 \ud1b5\ubcf4\uc2dc \uc5ec\ud589\uc694\uae08\uc758 5% \ubc30\uc0c1", "5223": "empty", "5224": "empty", "5225": "empty", "5226": "empty", "5227": "empty", "5228": "\uc790\ub3d9\ucc28\uc758 \uc5d4\uc9c4, \ud720, \ud2b8\ub79c\uc2a4\ubbf8\uc158, \uacf5\uc870\ubd80\ubd84 \ub4f1\uc5d0 \uc0ac\uc6a9", "5229": "15.6%", "5230": "empty", "5231": "empty", "5232": "empty", "5233": "2\uc810", "5234": "\uc0b0\ub9bc \uc870\ud569\uc5d0 \ub300\ud55c \uc0ac\ubc29 \uc0ac\uc5c5 \ub3c5\uc810 \uc704\ud0c1 \ud3d0\uc9c0", "5235": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "5236": "\uac70\uc810Wee \uc13c\ud130", "5237": "11,686\uac1c", "5238": "480\uc5b5\uc6d0", "5239": "empty", "5240": "12380", "5241": "29\uc138", "5242": "\uc591\uc9c0\uc911\ud559\uad50", "5243": "\uad6d\ubbfc\uae30\ucd08\uc0dd\ud65c\uc218\uae09\uc790 \uc99d\uba85\uc11c", "5244": "91\uc5b5 39\uba85", "5245": "\uc11c\uc6b8\uc9c0\ubc29\uacf5\uc815\uac70\ub798\uc0ac\ubb34\uc18c", "5246": "\ubd80\uc0b0 \uc81c103\ud638 (\uc8fc)\uc5d4\ub77c\uc774\ud504", "5247": "\uac1c\uc778\ubcf4\ud638\uad6c \u00b7 \uc0ac\ub2e4\ub9ac", "5248": "1\ub144", "5249": "\ub1cc\uc2ec\ud608\uad00\uacc4\uc9c8\ud658 \uad00\ub9ac", "5250": "\ud654\ud559, \uc18c\uc7ac", "5251": "212\uc5b5\uc6d0", "5252": "empty", "5253": "\uacf5\uacf5\uccad\uc0ac\uae30\ud68d\uacfc", "5254": "160\ubc31\ub9cc\uc6d0", "5255": "15", "5256": "empty", "5257": "14,630\u33a1, \ubd80\uc9c0\uba74\uc801 35,780\u33a1", "5258": "empty", "5259": "12.4%", "5260": "1\uad6c\uc5ed (3\uac1c) 749\uba85", "5261": "250\ub9cc\uc6d0", "5262": "empty", "5263": "empty", "5264": "95\uc810\uc774\uc0c1", "5265": "empty", "5266": "\uc0b0\uc5c5\ubd80 \uc0b0\ub2e8\uacf5", "5267": "empty", "5268": "\uc720\uc5f0\uadfc\ubb34\uc81c", "5269": "8\uac1c -2\uac1c \uc9c4\uc8fc\ud584 \ucc9c\ud558\uc7a5\uc0ac \ud504\ub9ac\ubbf8\uc5c4 1724.11", "5270": "empty", "5271": "66.6%", "5272": "\uc131\uade0\uad00\ub300", "5273": "empty", "5274": "empty", "5275": "empty", "5276": "41\uac1c", "5277": "70\uac1c\uc0ac", "5278": "\ucd08\uad11\uc5ed \ud074\ub7ec\uc2a4\ud130 \uad6c\ucd95", "5279": "empty", "5280": "\ucd08\ub4f1 \ucd08", "5281": "\ub9d0 \ud55c\ub9c8\ub514", "5282": "empty", "5283": "empty", "5284": "\ub3d9\uc591\uac74\uc124\uc0b0\uc5c5 2016.4.6", "5285": "empty", "5286": "\uc77c\ubcf8", "5287": "\uc9c4\ub85c \uba58\ud1a0\ub9c1 \uc2dc\uc2a4\ud15c \uad6c\ucd95", "5288": "2014\ub144~2017\ub144 4\ub144", "5289": "3", "5290": "empty", "5291": "9,795\uc138\ub300", "5292": "empty", "5293": "JST", "5294": "empty", "5295": "18.1", "5296": "5\uba85", "5297": "\ub3c4\ub2f4\ub3d9", "5298": "16\uba85", "5299": "17.8%", "5300": "6/1,124", "5301": "4\ub9cc\uba85", "5302": "5%", "5303": "11.8%", "5304": "empty", "5305": "empty", "5306": "356\uba85", "5307": "81.5% 85%", "5308": "empty", "5309": "\uac74\ucd95\uacfc", "5310": "2.4 \uc774\uc0c1 100\ubd84\uc758 5 \ucd08\uacfc 100\ubd84\uc758 10\uae4c\uc9c0", "5311": "empty", "5312": "16\uba85", "5313": "empty", "5314": "13\uba85", "5315": "5\uba85", "5316": "1,343\ub9cc\uba85 1,500\ub9cc\uba85", "5317": "25\ub9cc\uc6d0", "5318": "9% \uac10\ucd95", "5319": "2,000\uba85", "5320": "70%", "5321": "\ub098\ubb34\uc5ec\uacfc\uc7a5\uce58", "5322": "\uc785\ud559\uc5f0\uae30 \ubc0f \ucde8\ud559\uc720\uc608 \uc81c\ub3c4 \uac1c\uc120", "5323": "10\uac1c\uc18c", "5324": "\uc758\uc815\ubd80\uc2dc, \ub3d9\ub450\ucc9c\uc2dc, \uc5f0\ucc9c\uad70, \ud3ec\ucc9c\uc2dc", "5325": "\uad6d\ud1a0\ud574\uc591\ubd80", "5326": "empty", "5327": "\uae30\uc7ac\ubd80", "5328": "100\uc5b5", "5329": "empty", "5330": "empty", "5331": "5\uc5b5", "5332": "empty", "5333": "empty", "5334": "12\uc6d4", "5335": "\ud2b9\uc131\ud654 (10) \ud2b9\uc131\ud654 \uacc4\ud68d\uc758 \uc218\ub9bd, \ucd94\uc9c4, \uc131\uacfc", "5336": "empty", "5337": "\uc720\uc131\uad6c 4.8", "5338": "empty", "5339": "empty", "5340": "empty", "5341": "\uc0c1\uc0dd\uc758 \ube5b", "5342": "10.87%", "5343": "\uae08\uc735\u2024\ubcf4\ud5d8 \uc0ac\uae30 \uae08\uc735\uac10\ub3c5\uc6d0", "5344": "\uc138\uc885\ud638\uc218\uacf5\uc6d0", "5345": "empty", "5346": "\uad50\uacfc\ubd80\uc5f0\uc218\uc6d0 \uc5f0\uc218", "5347": "\uae30\uc220\ud45c\uc900\uc6d0", "5348": "\uc720\uce58\uc6d0 \ubc0f \uacf5\uc2dc \ub370\uc774\ud130 \uc870\ud68c\u00b7\uac80\uc0c9 \uc704\uc8fc\uc758 \ud654\uba74", "5349": "empty", "5350": "2012\ub144 ~ 2020\ub144 2021\ub144 \uc774\ud6c4 2031\ub144 \uc774\ud6c4", "5351": "50% \uba74\uc81c", "5352": "empty", "5353": "2\uc870", "5354": "empty", "5355": "\ub0b4\ucd94\ub7f4\uc544\ud2b8 1 \uc5b4\ub9b0\uc774+\ud559\ubd80\ubaa810\ud300", "5356": "empty", "5357": "\uace0\ub824\ub300\ud559\uad50", "5358": "empty", "5359": "720", "5360": "20\uba85", "5361": "empty", "5362": "\uc2e4\ud5d8\uad00\ub9ac\uc13c\ud130", "5363": "80\uba85", "5364": "60\uac00\uad6c", "5365": "empty", "5366": "49\uba85", "5367": "\uac74\uc124\uccad \ud559\uad50 \ud589\ubcf5\ub3c4\uc2dc\ud2b9\ubcc4\ubc95 \uc81c60\uc870\uc7582 \uac74\uc124\uccad", "5368": "\uacfc\ud559\uff65\uad50\uc721\uc735\ud569 \ube0c\ub9bf\uc9c0", "5369": "empty", "5370": "7,700\u33a1, \ucd1d\uacf5\uc0ac\ube44 160\uc5b5", "5371": "empty", "5372": "empty", "5373": "\ucd95\uc911\uae30", "5374": "empty", "5375": "empty", "5376": "empty", "5377": "empty", "5378": "CMB \uc544\ud2b8\ud640", "5379": "1\ucc28\uc0b0\uc5c5\uae30\ub2a5 \ud574\uc591\uc218\uc0b0\ubd80, \ub18d\ub9bc\ubd80", "5380": "\uad50\uc721\uc778\uc801\uc790\uc6d0\ubd80, \ubb38\ud654\uad00\uad11\ubd80", "5381": "\ud658\uc2b9\uc8fc\ucc28\uc7a5", "5382": "empty", "5383": "\uac74\ucd95\uacc4\ud68d\ud300", "5384": "\ud55c\uc6b8", "5385": "empty", "5386": "empty", "5387": "1\ud559\ub144 \uc81c1\ubd84\uae30 \uc2e0\uccad\uc2dc \uc804\uc561 \uc9c0\uae09", "5388": "empty", "5389": "empty", "5390": "empty", "5391": "empty", "5392": "2%", "5393": "\ub9ac\uc6a9, \ubb8c\uc2a4\ud130", "5394": "empty", "5395": "empty", "5396": "empty", "5397": "\uc138\uc885\uc2dc\uc9c0\uc6d0\uc704\uc6d0\ud68c", "5398": "empty", "5399": "empty", "5400": "empty", "5401": "empty", "5402": "\uc8fc\uc2dd\ud68c\uc0ac \uc704\ubc84\uc2a4\ucef4\ud37c\ub2c8", "5403": "\uc81c\ud488\ubcc4 \uad6c\uc785\uac00", "5404": "\uacbd\uc7c1\uc815\ucc45\uacfc", "5405": "\ub450\uc0b0\uc911\uacf5\uc5c5\u321c", "5406": "\uac00\uc2a4\uc2dd", "5407": "\uce74\ub974\ud154", "5408": "66\uac1c\uc0ac", "5409": "empty", "5410": "\ud604\ub300\ucc28", "5411": "\ud3f4\ub9ac\uc5d0\uc2a4\ud130100", "5412": "\uc8fc\uacbd\uc21c", "5413": "\uae40\ubc25/\ud584\ubc84\uac70/\uc0cc\ub4dc\uc704\uce58", "5414": "empty", "5415": "empty", "5416": "empty", "5417": "3428", "5418": "3\ub144", "5419": "16,186\uac1c", "5420": "\uc601\uc5c5\uc2dc\uac04 \ub2e8\ucd95\uad8c \ubcf4\uc7a5", "5421": "\uba78\uce58\uce7c\uad6d\uc218", "5422": "empty", "5423": "\uc99d\uad8c\uac70\ub798\ubc95", "5424": "empty", "5425": "95\uc810 \uc774\uc0c1", "5426": "empty", "5427": "empty", "5428": "empty", "5429": "\uc911\uad6d", "5430": "empty", "5431": "empty", "5432": "24.2%", "5433": "565", "5434": "18.69", "5435": "TRICAT(\uc218\uc911\uc775\ud615 \uc778\ub825\uc120) \uc870\uc120\uacf5\ud559", "5436": "\ud55c\uad6d\ub0a8\ub3d9\ubc1c\uc804 \ubc0f \ud55c\uad6d\uc218\ub825\uc6d0\uc790\ub825", "5437": "\ud55c\uc77c\ud640\ub529\uc2a4\u321c", "5438": "75,000\uc6d0", "5439": "empty", "5440": "\uc7a5\uc5fc\ube44\ube0c\ub9ac\uc624", "5441": "empty", "5442": "empty", "5443": "1", "5444": "empty", "5445": "\ub300\ub9ac\uc810\uc758 \ud655\uc778 \uc694\uccad \ubc0f \uc774\uc758\uc81c\uae30\uc5d0 \ub300\ud55c \uacf5\uae09\uc5c5\uc790\uc758 \uc870\uce58\uc758\ubb34 \ubd80\uacfc", "5446": "empty", "5447": "12\uac74", "5448": "empty", "5449": "\uc548\ub8cc \uc81c\uc870", "5450": "8\uc6d4", "5451": "empty", "5452": "3.5kg", "5453": "\ube0c\ub79c\ub4dc \uac00\ub9f9\uc810 \uacfc\ubc00\ud654", "5454": "\ud544\ub9bd\uc2a4", "5455": "empty", "5456": "\u321c\uba54\ud0c0\ub137\uc778\ud130\ub799\ud2f0\ube0c", "5457": "\uc9c0\uc8fc\ud68c\uc0ac \uc218\uc775\uad6c\uc870 \uc2e4\ud0dc\uc870\uc0ac", "5458": "empty", "5459": "empty", "5460": "\uc9c0\ubc30\uad6c\uc870 \uac1c\uc120 \uc804\uc790\ud22c\ud45c\uc81c \ub3c4\uc785 \uc5d0\uc2a4\ucf00\uc774, \ud55c\ud654", "5461": "empty", "5462": "\ud55c\uad6d\uc554\uc6e8\uc774\u321c", "5463": "empty", "5464": "empty", "5465": "\ud648\uc2a4\ud0c0 \uc695\uc2e4\uc6a9 \uc138\uc815\uc81c", "5466": "51.1% 36%", "5467": "\uc2e0\uc774\ub0b4\ub9b0 \uc624\uc9d5\uc5b4\uc57c\ucc44 \ubbf8\ub3c4\ubc14 \uc810\ubcf4", "5468": "empty", "5469": "139\uac1c", "5470": "\uce5c\ud658\uacbd \ud734\uc2dd\uacf5\uac04", "5471": "\ud55c\uad6d\uc554\uc6e8\uc774\u321c", "5472": "111.2%", "5473": "60% \uc774\uc0c1 80% \uc774\ud558", "5474": "SF-2300OH 1522-4826", "5475": "\ud55c\uad6d\uc18c\ube44\uc790\uc6d0", "5476": "empty", "5477": "empty", "5478": "9.9", "5479": "empty", "5480": "\ud0dc\uad11", "5481": "empty", "5482": "\uc548\uc804\uc131", "5483": "\uc640\uc6b0 \ud328\ubc00\ub9ac UP \u321c\ube44\uc83c\ucf54\ubca0\uc544 240000", "5484": "empty", "5485": "\uc258 \ud53c\ud2b8\uc778 \ud3f4\ub354\ub9e4\ud2b8 205", "5486": "empty", "5487": "\uc5b4\ub9b0\uc774\uacfc\ud559\uad50\uc2e4\u2163", "5488": "empty", "5489": "empty", "5490": "\uacbd\ub0a8 \ucc3d\uc6d0", "5491": "29\uac1c \uc9d1\ub2e8 40\uac1c\uc0ac", "5492": "\ub86f\ub370\uce60\uc131\uc74c\ub8cc\u321c", "5493": "empty", "5494": "\uae30\uc544\uc790\ub3d9\ucc28", "5495": "25\uac1c 35\uac74", "5496": "\ucf54\ucf54\ubabd \uc0ac\uacfc", "5497": "empty", "5498": "empty", "5499": "empty", "5500": "empty", "5501": "empty", "5502": "\uc81c\uc870\uc5c5, \ub3c4\ub9e4 \ubc0f \uc18c\ub9e4\uc5c5, \uc804\ubb38, \uacfc\ud559\ubc0f\uae30\uc220\uc11c\ube44\uc2a4\uc5c5 / 2015\ub144", "5503": "empty", "5504": "\ud658\uacbd\uc6d0\uc608\ud559\uacfc", "5505": "500 1000", "5506": "\uc5d0\uc2a4\ucf00\uc774", "5507": "empty", "5508": "empty", "5509": "\uc678\uad6d\uc5b4", "5510": "\ub300\ub9bc\uc120 \uc655\uad50\uc790 \u321c\uc0ac\uc870\uc624\uc591 \uc784\uc2e4\uacf5\uc7a5", "5511": "empty", "5512": "empty", "5513": "empty", "5514": "\ub9d0\ub808\uc774\uc2dc\uc544 795720", "5515": "50%", "5516": "\uc18c\ub2e4\ubaac", "5517": "empty", "5518": "1\ub144", "5519": "empty", "5520": "empty", "5521": "empty", "5522": "5\uc77c\uac04", "5523": "empty", "5524": "empty", "5525": "empty", "5526": "empty", "5527": "empty", "5528": "\ub098\uc774\ud0a4", "5529": "\uad11\uc8fc\uc9c0\ubc29\uacf5\uc815\uac70\ub798\uc0ac\ubb34\uc18c", "5530": "49\ucc9c\uc6d0(\uc0ac\uad6c\ubc15\uc2a4) ~ 300\ucc9c\uc6d0", "5531": "80\ubd84", "5532": "\uae30\uc7ac\ubd80", "5533": "empty", "5534": "\uc778\ud130\ub137 \uccad\uc18c\uc758 \ub0a0 \uc6b4\uc601, \uc0ac\uae30\ubc29\uc9c0\uc758 \ub2ec \uc6b4\uc601 \ub4f1", "5535": "\uacfc\ud559\uae30\uc220\uad50\uc721\uc9c0\uc6d0\ub2e8", "5536": "30% \uc774\ub0b4", "5537": "2008\ub144 9\uc6d4 8\uc77c", "5538": "empty", "5539": "empty", "5540": "2.4 \uc774\uc0c1", "5541": "empty", "5542": "\ucf00\uc774\ud2f0\uc564\uc9c0", "5543": "empty", "5544": "empty", "5545": "empty", "5546": "2.4 \uc774\uc0c1 3\ucc9c\ub9cc\uc6d0 \ucd08\uacfc 4\ucc9c\ub9cc\uc6d0\uae4c\uc9c0", "5547": "\uc18c\ube44\uc790\uae30\ubcf8\ubc95", "5548": "empty", "5549": "empty", "5550": "empty", "5551": "empty", "5552": "100m", "5553": "empty", "5554": "empty", "5555": "empty", "5556": "\ub354\ube14\ud53c\uce58 \uccb4\uc778", "5557": "\uc815\ubd80\ucd9c\uc5f0(\uc5f0) \uad50\uc721\uacfc\ud559\uae30\uc220\ubd80", "5558": "empty", "5559": "empty", "5560": "empty", "5561": "empty", "5562": "empty", "5563": "empty", "5564": "\uc131\uc7a5\uc131", "5565": "5-6\ud504\ub80c\uce58", "5566": "\u321c\uc2e0\uc138\uacc4\ud504\ub77c\ud37c\ud2f0", "5567": "empty", "5568": "empty", "5569": "empty", "5570": "\uc2dc\uc7a5\uc9c0\ubc30\uc801\uc9c0\uc704 \ub0a8\uc6a9, \ub2f4\ud569, \uacbd\uc7c1\uc81c\ud55c\uc801 M&A \ub4f1 \uc2dc\uc815", "5571": "\ub3c5\uc77c 66400 14 \ud0d1\uba54\ub514\uce7c", "5572": "\uc5f0\ub9c8", "5573": "\ud604\ub300\uac74\uc124", "5574": "\ub300\uc6b0\uac74\uc124", "5575": "81\uac74", "5576": "\uc11c\uc6b8\ub300 \ubc95\uacbd\uc81c\ud559\uc5f0\uad6c\ud68c", "5577": "647748", "5578": "\ud55c\ud654\uc885\ud569\ud654\ud559 2004.01.01. \ub300\ub9bc \ub300\ub9bc\uc5d0\ub108\uc9c0", "5579": "\ud63c\ud569\uac04\uc7a5 \ud63c\ud569\uac04\uc7a5", "5580": "\ud3f4\ub9ac\ub374\ud2b8 5\ubd84 \ud074\ub9ac\ub2dd\uc815, \ud53c\ud2f0\ub374\ud2b8\uba54\uac00\ud074\ub9b0\uc9d5\uc815", "5581": "empty", "5582": "empty", "5583": "empty", "5584": "empty", "5585": "1\uba85", "5586": "3\uc5b5 \uc6d0\uc774\uc0c1 5\uc5b5 \uc6d0\uc774\ud558", "5587": "empty", "5588": "106\ub9cc \uba85 118\ub9cc \uba85", "5589": "\uad50\ucd0c\ud6c4\ub77c\uc774\ub4dc \uad50\ucd0c\ub808\ub4dc\uc624\ub9ac\uc9c0\ub0a0", "5590": "25\uac1c \ud559\uc704 113\uac1c \uc804\uacf5", "5591": "empty", "5592": "\ub2e4\uc774\uc544\uc81c\ub2c9\uc2a4", "5593": "empty", "5594": "\uc790\uc0b0\ucd1d\uc561 5\uc870 \uc6d0 \uc774\uc0c1", "5595": "1 930\uc6d0", "5596": "\uacfc\ud559\ud0d0\uad6c \uacfc\ud559\ud0d0\uad6c", "5597": "\uc9c0\ubc29\uacc4\uc57d\ubc95", "5598": "empty", "5599": "\ub3d9\ubb3c\ubcd1\uc6d0", "5600": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc", "5601": "\uc11c\uc6b8\uc9c0\ubc29\uc870\ub2ec\uccad", "5602": "empty", "5603": "90\uc77c\ub0b4", "5604": "\uc5f0\ud68c\uc2dc\uc124 \uc6b4\uc601\uc5c5 \uc0ac\uc6a9\uc608\uc815\uc77c\ub85c\ubd80\ud130 2\uac1c\uc6d4 \uc804 \uc774\uc804 \ucde8\uc18c", "5605": "\uc6d0\uc2a4\ud1b1 \ud53c\ud574\uad6c\uc81c", "5606": "\ub300\uae30\uc5c5\uc9d1\ub2e8\uc815\ucc45", "5607": "\uc0c1\uc7a5\ud68c\uc0ac", "5608": "empty", "5609": "\uc601\uc591 \uac15\uc870 \ud45c\uc2dc \uc81c\ud488 CJ \ud504\ub808\uc2dc\uc548 \uc54c\uc9dc\ub780", "5610": "empty", "5611": "empty", "5612": "empty", "5613": "\uac00\ub9f9\uae08 \uc608\uce58 \uc81c\ub3c4", "5614": "\ud45c\uc900\uc57d\uad00 \ud45c\uc9c0\ubd80", "5615": "10% \uc774\uc0c1", "5616": "150\ucc9c\uc6d0", "5617": "1\uac1c\uad50", "5618": "\ud55c\ubbf8\uc778\ud130\ub124\uc154\ub0a0\u321c", "5619": "empty", "5620": "empty", "5621": "30\uc77c \uc774\ub0b4", "5622": "19\uac1c\uc0ac", "5623": "empty", "5624": "empty", "5625": "\uad6d\ud1a0\ud574\uc591\ubd80", "5626": "13\uc2dc\uac04", "5627": "empty", "5628": "\uae08\uc735\uc704\uc6d0\ud68c", "5629": "empty", "5630": "4,566\uba85", "5631": "\ucd5c\uc6b0\uc218", "5632": "80\ubd84", "5633": "empty", "5634": "12\ub9cc \uba85", "5635": "\ubc30\ub7f4(WRG_05) \uc624\ub2d0(#4172) \ub808\ub178\ub9c8", "5636": "12\uac74", "5637": "\ud638\uc8fc \uacbd\uc7c1\uc18c\ube44\uc790\uc704\uc6d0\ud68c", "5638": "empty", "5639": "\uc911\ud765\uac74\uc124", "5640": "\uacf5\uc815\uac70\ub798\ubc95 \uc81c11\uc870\uc758 4", "5641": "\ud604\ub300", "5642": "\ub3d9\uad6d\ub300", "5643": "\uc18c\ube44\uc790\uae30\ubcf8\ubc95", "5644": "255\uc5b5\uc6d0 / 9\uac1c\uad50 \u00b7 231\uc5b5\uc6d0 / 15\uac1c\uad50 \ub0b4\uc678", "5645": "empty", "5646": "empty", "5647": "empty", "5648": "21420", "5649": "empty", "5650": "\ud55c\uad6d\uc9c0\uc5ed\ub09c\ubc29\uacf5\uc0ac", "5651": "\ud604\ub300\ubc31\ud654\uc810", "5652": "BNF\ud1b5\uc0c1", "5653": "\ubca0\uc9c0\ubc00", "5654": "10\uff5e100\ub9cc\uc6d0", "5655": "empty", "5656": "empty", "5657": "\uc790\ub3d9\ucc28\uc6d0\ub3d9\uae30\uc758 \uc7ac\uc0dd\uc815\ube44", "5658": "empty", "5659": "\ub18d\ud611\uae08\uc735\uc9c0\uc8fc", "5660": "\uac01 \uc0ac\uc774\ud2b8\uc758 \ud654\uba74 \uc9c1\uc811 \uc810\uac80 \uac00\uaca9 \ube44\uad50 \uc815\ubcf4 \uc77c\uce58\uc728", "5661": "\ub098\ud2b8\ub968", "5662": "\uc2dd\ud488\uc758 \ud45c\uc2dc\uae30\uc900 \uc0c1\uc138 \ub0b4\uc6a9 \ubcf4\uc644 \ucd95\uc0b0\ubb3c\uc704\uc0dd\uad00\ub9ac\ubc95", "5663": "empty", "5664": "\uc6b0\uc988\ubca0\ud0a4\uc2a4\ud0c4, \uce74\uc790\ud750\uc2a4\ud0c4", "5665": "empty", "5666": "empty", "5667": "\uc11c\uc6b8\ub300", "5668": "empty", "5669": "empty", "5670": "empty", "5671": "\uc5d0\uc2a4\ucf00\uc774\uc99d\uad8c CJ", "5672": "\ub514\uc544\uc774\ud53c\ud640\ub529\uc2a4", "5673": "empty", "5674": "23kg \uc774\ub0b4", "5675": "empty", "5676": "empty", "5677": "empty", "5678": "\ubc1c\ud589\uc774\uc728 \uacbd\uacfc\uc774\uc790", "5679": "empty", "5680": "empty", "5681": "\ucf54\uc624\ub871\uae00\ub85c\ubc8c", "5682": "\uc18c\uc15c\ucee4\uba38\uc2a4 \uc18c\ube44\uc790 \ubcf4\ud638 \uc790\uc728\uc900\uc218 \uc9c0\uce68", "5683": "empty", "5684": "empty", "5685": "empty", "5686": "empty", "5687": "16.5%", "5688": "empty", "5689": "\uac00\ub9f9\ubcf8\ubd80 \uc608\uce58", "5690": "empty", "5691": "empty", "5692": "empty", "5693": "\ub0a8\uc591\uac74\uc124", "5694": "2.2 \uc774\uc0c1", "5695": "empty", "5696": "empty", "5697": "\uc5fc\uc218\ubd84\ubb34\uc2dc\ud5d8", "5698": "\uc790\ub9c9\uad11\uace0", "5699": "empty", "5700": "empty", "5701": "50%", "5702": "empty", "5703": "empty", "5704": "empty", "5705": "\ud654\uc778\uc815\ubcf4\uae30\uc220", "5706": "empty", "5707": "\uc911\uae30\uccad (\ucc3d\uc5c5\uc9c4\ud765\uc6d0) \uad50\uc721\ubd80 (\ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8) \uad6d\ubc29\ubd80", "5708": "empty", "5709": "\uc77c\ubcf8 \uacf5\uc815\uc704", "5710": "\uac00\ub9f9\uc810 \uc0ac\uc5c5\uc790 \uad8c\uc775\ubcf4\ud638", "5711": "10\ub9cc\uc6d0 \ubbf8\ub9cc", "5712": "\ud55c\uad6d SQ-230PG", "5713": "\ud3b8\uc758\uc131", "5714": "\uc9c1\ud310", "5715": "\uc5d0\ucf54\ub9ac\ube0c\ub974", "5716": "empty", "5717": "empty", "5718": "empty", "5719": "\uc601\uc0c1 \ud655\uc778 \ud3b8\ub9ac\uc131, \ubc84\ud2bc \uc870\uc791 \ud3b8\ub9ac\uc131, \ube14\ub799\ubc15\uc2a4 \uc124\uce58 \uc6a9\uc774\uc131", "5720": "10.75", "5721": "\ud604\ub300\ubc31\ud654\uc810", "5722": "empty", "5723": "\uad50\ubcf4\uc0dd\uba85\ubcf4\ud5d8", "5724": "\ube44\uc0c1\uc7a5\uc0ac \uc911\uc694\uc0ac\ud56d \uacf5\uc2dc \ub300\uaddc\ubaa8 \ub0b4\ubd80\uac70\ub798 \uacf5\uc2dc", "5725": "empty", "5726": "empty", "5727": "empty", "5728": "empty", "5729": "empty", "5730": "7\uac1c \uc9d1\ub2e8 \uc18c\uc18d 311\uac1c\uc0ac", "5731": "1850030", "5732": "\uba74", "5733": "empty", "5734": "empty", "5735": "\uc131\uade0\uad00\ub300 \ud55c\uc591\ub300", "5736": "empty", "5737": "empty", "5738": "\uad6d\ub9bd\ub18d\uc0b0\ubb3c\ud488\uc9c8\uad00\ub9ac\uc6d0", "5739": "empty", "5740": "3\uac1c\uc6d4\uc774\uc0c1 \uace0\uc815\ub41c \uc7a5\uc18c \uc678\uc5d0\uc11c \ud310\ub9e4\ud558\ub294 \uacbd\uc6b0", "5741": "\u321c\ud558\uc774\ub9c8\ud2b8", "5742": "empty", "5743": "empty", "5744": "empty", "5745": "empty", "5746": "\uad6d\uc81c\uce74\ub974\ud154 \uc0ac\ub840 \ubc0f \uc774\ub860/\ud55c\uad6d\uc18c\ube44\uc790\uc6d0, \uacf5\uc815\uc704 \ubc29\ubb38", "5747": "empty", "5748": "\ud0dc\uad11", "5749": "\ud55c\uad6d\uc11d\uc720\uacf5\uc0ac", "5750": "\uae08\uc735\ubcf4\ud5d8\uc0ac \ubcf4\uc720\uc8fc\uc2dd \uc758\uacb0\uad8c \uc81c\ud55c \uac15\ud654", "5751": "1.5\ubc30", "5752": "\ubd80\uc601\ub300\ubd80\ud30c\uc774\ub0b8\uc2a4", "5753": "\uad50\ubcf4\uc6b0\ub9ac\uc544\uc774 \ubcc0\uc561\uc5f0\uae08\ubcf4\ud5d8", "5754": "empty", "5755": "\ud55c\uad6d\ud0c0\uc774\uc5b4", "5756": "\ub300\uaddc\ubaa8 \ub0b4\ubd80\uac70\ub798 \uacf5\uc2dc \uc8fc\uccb4 \ub300\uae30\uc5c5\uc9d1\ub2e8 \uc18c\uc18d\uc0ac", "5757": "\ud55c\uad6d\uc18c\ube44\uc790\uc6d0", "5758": "1.0", "5759": "\ub3d9\ud654\uae30\uc5c5\u321c", "5760": "\ub178\ud2b8\ubd81\uc6a9 HDD", "5761": "\uad50\ubcf4\uc0dd\uba85 \ubb34)\uad50\ubcf4First\uc6b0\ub9ac\uc544\uc774\ubcc0\uc561\uc5f0\uae08\ubcf4\ud5d8", "5762": "\ubbf8\uad6d", "5763": "25\uc704", "5764": "empty", "5765": "\uc77c\ubc18 \ub4e0\ub4e0 50%", "5766": "\uacbd\uc778\uc6b4\ud558\u321c", "5767": "\ud638\uc11c\ub300\ud559\uad50", "5768": "\uc0bc\uc131", "5769": "\uc18c\ud504\ud2b8\uc6e8\uc5b4 \uac1c\ubc1c\uc5c5", "5770": "empty", "5771": "empty", "5772": "\ucc38\uac00\ube44 \uc5c6\uc74c", "5773": "50\uc5b5 \uc6d0 \ubbf8\ub9cc", "5774": "empty", "5775": "\ubc95\ub960 \uc0c1\ub2f4 \ubcc0\ud638\uc0ac", "5776": "empty", "5777": "1\ud68c", "5778": "empty", "5779": "\uac74\uac15\uc2dd\ud488, \uc0dd\ud65c\uc6a9\ud488", "5780": "empty", "5781": "empty", "5782": "2\uc5b5\uc6d0\ucd08\uacfc 4\uc5b5\uc6d0 \uc774\ud558", "5783": "6\ub144", "5784": "empty", "5785": "1\ub4f1\uae09 \uc218\ub3c4\uad8c \uba85\ubb38\uace8\ud504\uc7a5\uc774 \ub300\ub2e4\uc218", "5786": "627.64", "5787": "empty", "5788": "empty", "5789": "20% \uc778\ud558", "5790": "empty", "5791": "empty", "5792": "3\uba85 \uc774\uc0c1, \uc804\uccb4 \uc774\uc0ac \uc911 1/2 \uc774\uc0c1", "5793": "\uc790\ubcf8\uc2dc\uc7a5\ubc95", "5794": "empty", "5795": "empty", "5796": "empty", "5797": "empty", "5798": "50\uc810) (1) \uc2ec\uc0ac\uad00\uc774 \uc2ec\uc0ac\ubcf4\uace0\uc11c\ub97c \uc124\ub4dd\ub825 \uc788\uac8c \ubcf4\uace0", "5799": "2006.9.7, \uc911\uad6d", "5800": "60%\ud658\ubd88", "5801": "\ub2e5\ud130\uc544\ud1a0\ub9c8\uc77c\ub4dc", "5802": "\uc758\ub958", "5803": "KT", "5804": "1\ub144", "5805": "empty", "5806": "1\uc5b5\uc6d0 \uc774\uc0c1", "5807": "empty", "5808": "16\uc810 40\uc810", "5809": "\ud55c\uad6d\uc804\ub825\uacf5\uc0ac \ud55c\uad6d\uc804\ub825\uacf5\uc0ac", "5810": "\ucc3d\uc6d0 \ub0a8\uc0b0\ub3d9 604-26", "5811": "\uc5d0\uc2a4\ucf00\uc774", "5812": "\uc548\ub3d9\uc18c\uc8fc, \uc6b4\ud574, \uc624\ud06c\uc820", "5813": "empty", "5814": "empty", "5815": "30%", "5816": "empty", "5817": "empty", "5818": "\uae08\ud638 \uc544\uc2dc\uc544\ub098", "5819": "empty", "5820": "\ubcf8\ubd80 \uac00\ub9f9\uc720\ud1b5\uacfc", "5821": "6\uac1c", "5822": "\ud504\ub77c\uc774\ub4dc(4 \ub3c4\uc5b4) 67\uac1c", "5823": "\ud22c\uc2fc", "5824": "empty", "5825": "empty", "5826": "\ud718\ubc1c\uc131 \uba54\ubaa8\ub9ac", "5827": "\uc9c0\ubc29\uc18c\ube44\uc790 \ud589\uc815\ud611\uc758\ud68c \uc6b4\uc601\uaddc\uc815", "5828": "DRAM", "5829": "100\ub9cc\uc6d0", "5830": "\uae08\uc735\uc704\uc6d0\ud68c", "5831": "\ubbf8\ubc31\ud6a8\uacfc", "5832": "empty", "5833": "empty", "5834": "3\uc5b5\uc6d0 \uc774\uc0c1", "5835": "empty", "5836": "\ud3ec\uc2a4\ucf54", "5837": "empty", "5838": "empty", "5839": "\uacbd\uae30\ub3c4, \uad11\uc8fc\uc2dc, \uc5ec\uc8fc\uc2dc", "5840": "empty", "5841": "empty", "5842": "empty", "5843": "\ub18d\uc2dd\ud488\ubd80 \uc7a5\uad00", "5844": "\ub9e4\ucd9c\uc561, \ub2f9\uae30\uc21c\uc774\uc775, \uc2e0\uc6a9\ud3c9\uac00 \ub4f1", "5845": "empty", "5846": "empty", "5847": "\uc5ec\ud589\uc5c5 \uc885\uc0ac\uc790 \ub300\uc0c1", "5848": "empty", "5849": "empty", "5850": "empty", "5851": "107.7%", "5852": "empty", "5853": "14\ub144", "5854": "empty", "5855": "empty", "5856": "12,420\u33a1", "5857": "6\uac1c \uc5c5\uccb4", "5858": "\uc6d0\uce59\uc801\uc73c\ub85c \uc6b0\ud3b8\uc73c\ub85c \uc790\ub8cc\uc81c\ucd9c \uc694\uad6c", "5859": "empty", "5860": "empty", "5861": "empty", "5862": "14 17", "5863": "\ud53c\ud574\uc790 \uac1c\uc778\uc774 \uc18c\uc1a1\uc5d0 \ucc38\uac00", "5864": "empty", "5865": "empty", "5866": "\ub18d\uac00 \uc608\ubc29 \uae30\ubcf8\uc218\uce59 \ubc95\uc81c\ud654", "5867": "empty", "5868": "100\uc5b5\uc6d0 \uc774\uc0c1", "5869": "empty", "5870": "empty", "5871": "\ucd95\uc0b0\ucc28\ub7c9 \ucd9c\uc785\ud1b5\uc81c 84\uacf3, 193km", "5872": "\uc0ac\uc5c5 \uace0\ub3c4\ud654 \uc9c0\uc6d0", "5873": "\uc2dc\u00b7\ub3c4\uc5d0 \ub4f1\ub85d", "5874": "\uad6d\ub9bd\ub18d\uc5c5\uacfc\ud559\uc6d0", "5875": "\uacbd\ub0a8 \ubc00\uc591 \ub538\uae30", "5876": "\uc0ac\ud6c4\uad00\ub9ac", "5877": "\uc804\ubd81\ub300", "5878": "2002.4\uc6d4", "5879": "\ub300\uc911\uad50\ud1b5\uc6b4\ud589", "5880": "6\uac1c \uc9d1\ub2e8 \ucd1d 23\uac1c\uc0ac \ucd1d 27\uac1c\uc0ac", "5881": "empty", "5882": "empty", "5883": "8\uac1c\uc0ac", "5884": "2\uc5b5\uc6d0", "5885": "\uc11c\uc6b8", "5886": "LNG\ud130\ubbf8\ub110\uc744 \uc18c\uc720\ud55c \uad6d\uac00\uc5d0 \uc758\ud55c LNG \uc218\uc785", "5887": "\uae40\uc885\uc2dd", "5888": "\uacbd\ubd81 9\uac1c \uc2dc\u00b7\uad70 \uc9c0\uc5ed \uc2e4\uc2dc\uc124\uacc4\uc6a9\uc5ed \uc785\ucc30\ub2f4\ud569\ud589\uc704 \uac74", "5889": "empty", "5890": "\uac1c\uc18c\ub2f9 3\ubc31\ub9cc\uc6d0", "5891": "50\ub9cc\uc6d0", "5892": "8\uba85", "5893": "\uc528\uc81c\uc774", "5894": "empty", "5895": "empty", "5896": "\uc0bc\uc131", "5897": "empty", "5898": "\u2219\uc2dc\uc815\uba85\ub839, \uad6c\uc131\uc0ac\uc5c5\uc790\ud1b5\ubcf4, \uacf5\ud45c\uba85\ub839, \uacfc\uc9d5\uae08 \ub4f1", "5899": "7%", "5900": "empty", "5901": "\uc815\ubcf4\ud1b5\uc2e0", "5902": "empty", "5903": "\uc740\ube5b\uc720\uce58\uc6d0", "5904": "empty", "5905": "\uc885\uc790", "5906": "3\uc810", "5907": "65\u223c80%\u2191 \uc57d 20\u223c60%", "5908": "empty", "5909": "empty", "5910": "25% \uc778\uc0c1", "5911": "\uacf5\uc8fc\uad50\ub300", "5912": "empty", "5913": "empty", "5914": "\ub300\uc9c4\ub300\ud559\uad50", "5915": "\ub2e8\ud638\ubc15", "5916": "\uc790\uaca9\uc99d \ubd84\uc57c", "5917": "empty", "5918": "100\ubd84", "5919": "empty", "5920": "\uc131\uade0\uad00\ub300", "5921": "\uae30\uc7ac\ubd80", "5922": "26\uc5b5\uc6d0", "5923": "15\uc810", "5924": "empty", "5925": "\ud55c\uad6d\uad50\uc721\uac1c\ubc1c\uc6d0", "5926": "empty", "5927": "empty", "5928": "empty", "5929": "empty", "5930": "empty", "5931": "PDF \ud30c\uc77c", "5932": "\uad50\uc721\uc2dc\uc124\uad6c\uc870 \ubc0f \uc778\uc811\ub300\uc9c0 \uc9c0\ubc18 \uc548\uc804\uc131", "5933": "empty", "5934": "empty", "5935": "empty", "5936": "7", "5937": "\uc790\uc2e0\uac10", "5938": "empty", "5939": "2\uc810", "5940": "\uc131\uade0\uad00\ub300", "5941": "\uac00\uad6c\uc18c\ub4dd(ex. 5\uad6c\uac04)\ubcc4 \ud3c9\uade0 \ucde8\uc5c5\ub960 \ubc0f \uccab \uc77c\uc790\ub9ac \uc784\uae08 \uc218\uc900 \ube44\uad50", "5942": "29\uac1c", "5943": "empty", "5944": "\ubd80\ucd1d\ub9ac\uacb8\uad50\uc721\ubd80 \uc7a5\uad00\uc0c1", "5945": "empty", "5946": "55", "5947": "empty", "5948": "empty", "5949": "\uc11c\uc6b8\uad50\ub300", "5950": "\uac10\uc131\uc778\uc2dd\uae30\uc220\uc804\ubb38\uac00 \uc591\uc131\uacfc\uc815", "5951": "\ud638\ub0a8\ub300", "5952": "empty", "5953": "\ud654\uc131\uc2dc \uc678 5\uac1c", "5954": "empty", "5955": "\uad50\uc218\ud559\uc2b5 \uc790\ub8cc \uac1c\ubc1c", "5956": "empty", "5957": "\ub3d9\ud574\uc2dc, \uc601\uc6d4\uad70", "5958": "empty", "5959": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "5960": "empty", "5961": "empty", "5962": "45 5\uc9c0\uc120\ub2e4\ud615 23 100\uc810 80\ubd84", "5963": "empty", "5964": "100\ub9cc \uc6d0", "5965": "empty", "5966": "\ud1b5\uacc4\uccad(8.10, 0.08) \uad00\uc138\uccad", "5967": "30\uac1c 119 319\uba85", "5968": "1", "5969": "empty", "5970": "empty", "5971": "\uc804\ub0a8 \uc21c\ucc9c\uc2dc \uc21c\ucc9c\ub9cc", "5972": "empty", "5973": "empty", "5974": "empty", "5975": "\uad50\uc0ac \uc6b4\ub3d9\uc120\uc218", "5976": "\ucf00\uc774\ud2f0 \ud558\ub098\ub85c\ud154\ub808\ucf64", "5977": "\uad50\uc0ac \uad50\uc0ac \uad50\uc0ac \uad50\uc0ac 2 \uc758\uc0ac \uacbd\ucc30\uad00", "5978": "6\ub9cc\uc6d0", "5979": "empty", "5980": "\uc9c0\uc790\uccb4 \uc758\ubb34\uad50\uc721 \uc2dc\ud589 \uad00\ub828 \ud64d\ubcf4, \uad50\uc721\uc7a5 \uc81c\uacf5, \uad50\uc721 \uc77c\uc815 \ud611\uc758 \uc870\uc815", "5981": "empty", "5982": "\ud559\uc810\uc81c\ud615 \ud559\uad50\uacf5\uac04 \uc870\uc131", "5983": "empty", "5984": "empty", "5985": "empty", "5986": "25\ubc30", "5987": "empty", "5988": "\uacf5\uc815\uac70\ub798\uc704\uc6d0\ud68c \ud558\ub3c4\uae09\uad6d", "5989": "\uac15\uc6d0 \uc591\uc591", "5990": "\uc2a4\ucfe0\ubc84\uc7a0\uc601(50m) 13:30 \uc6b8\uc9c4\ud574\uc591 \ub808\ud3ec\uce20\uc13c\ud130 100", "5991": "empty", "5992": "\ub86f\ub370\ud478\ub4dc", "5993": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80", "5994": "empty", "5995": "empty", "5996": "empty", "5997": "empty", "5998": "empty", "5999": "20\uac1c", "6000": "\ucc3d\ud3ec\ub9c8\uc744", "6001": "\uac74\uac15 \uae30\ub2a5 \uc2dd\ud488", "6002": "empty", "6003": "empty", "6004": "\uad6d\ub9bd\uc218\uc0b0\uacfc\ud559\uc6d0", "6005": "\uce7c\ub77c\uc9f1", "6006": "\uc6b0\uace1\uc0dd\uc8fc", "6007": "24\ub9cc\uc6d0\uff5e72\ub9cc\uc6d0 12\ub9cc\uc6d0", "6008": "empty", "6009": "\ub2f4\uc591\uc8fd\ud5a5\ub3c4\uac00", "6010": "empty", "6011": "empty", "6012": "25,000\uc6d0 25,000\uc6d0", "6013": "\uc624\uc900\ubcd1 \ud558\uc218\ucc98\ub9ac\uad00\ub9ac \uc6b4\uc601", "6014": "34\uba85", "6015": "2012", "6016": "\uc6a9\uc0b0\uad6c", "6017": "60.4\uc810", "6018": "35\uac1c \ucd94\uc9c4\uacfc\uc81c \u2160. \uc2a4\ub9c8\ud2b8\ud31c \uc2e4\uc99d \ubc0f \uace0\ub3c4\ud654 \uc5f0\uad6c\uc0ac\uc5c5", "6019": "\uc591\ubc30\ucd94 \ubd84\ub9d0\uc758 \ucca8\uac00\uac00 \uc800\uc9c0\ubc29 \ud584\ubc84\uac70 \uc2a4\ud14c\uc774\ud06c\uc758 \ud488\uc9c8\uc5d0 \ubbf8\uce58\ub294 \uc601\ud5a5", "6020": "empty", "6021": "\uba67\ub3fc\uc9c0 \ub4f1 \ud3ec\uc720\ub3d9\ubb3c \ucd9c\uc785\ucc28\ub2e8", "6022": "empty", "6023": "empty", "6024": "1", "6025": "empty", "6026": "\u321cSPC \ubd80\uc0ac\uc7a5", "6027": "empty", "6028": "empty", "6029": "empty", "6030": "empty", "6031": "empty", "6032": "empty", "6033": "\uc2dc\ub3c4\uad50\uc721\uccad\uc5d0\uc11c 2\uac1c \uce74\ub4dc\uc0ac\uc758 \ub2e8\uc21c \ub0b4\uc5ed \uc870\ud68c\ub9cc \uac00\ub2a5", "6034": "empty", "6035": "\uac1c\uc778\uc5f0\uad6c", "6036": "11. 22", "6037": "empty", "6038": "empty", "6039": "empty", "6040": "empty", "6041": "empty", "6042": "empty", "6043": "empty", "6044": "\ub3c4\uc804(\uae30\uc5c5\uac00)\uc815\uc2e0 \ud568\uc591 \ubc0f \ucc3d\uc5c5\uccb4\ud5d8\uad50\uc721", "6045": "empty", "6046": "empty", "6047": "\uad6d\ubbfc\uc774 \ucc38\uc5ec\ud558\ub294 \uad50\uc721\uc2e0\ub8b0\ud68c\ubcf5\uad00\ub828 \ud1a0\ud06c\ucf58\uc11c\ud2b8", "6048": "empty", "6049": "\uc0bc\uac01\ube44, \ud53c\ud0c0\uace0\ub77c\uc2a4 \uc815\ub9ac", "6050": "empty", "6051": "\uc11c\uc6b8, \uc778\ucc9c, \uacbd\uae30,", "6052": "\uc804\ubd81", "6053": "empty", "6054": "1\uc720\ud615 (2018\ub144 \ubbf8\ucc38\uc5ec) 2\uc720\ud615", "6055": "215\ud300", "6056": "25%", "6057": "empty", "6058": "\uace0\uc6a9\ub178\ub3d9\ubd80", "6059": "AI\uc5d0 \ub300\uc751\ud55c \uad50\uc721\ud601\uc2e0, \uc778\uacf5\uc9c0\ub2a5 \ud1a0\uc775\ud559\uc2b5, \uc735\ud569\uc778\uc7ac\uc591\uc131\ub860", "6060": "10\u223c50\uc5b5\uc6d0", "6061": "\ud604\ub300\ubc31\ud654\uc810", "6062": "empty", "6063": "150\uba85", "6064": "\uc804\ubd81 \uc804\uc8fc", "6065": "empty", "6066": "empty", "6067": "empty", "6068": "\uc81c\uc8fc\ub3c4", "6069": "\ub0b1\ub9d0\uacfc \ubb38\uc7a5\uc73c\ub85c \ub9d0\ud558\uae30", "6070": "\uc0ac\ud68c\uad00\uacc4", "6071": "empty", "6072": "160\u2103\uc774\uc0c1", "6073": "empty", "6074": "\ud559\uc81c\uac04\uc735\ud569\uc5f0\uad6c", "6075": "empty", "6076": "empty", "6077": "2\uba85 3\uba85", "6078": "empty", "6079": "\uc0ac\ud68c\uacfc\ud559\uc5f0\uad6c\uc9c0\uc6d0", "6080": "45", "6081": "\ub354\ubd88\uc5b4 \uc0dd\ud65c\ud558\uae30", "6082": "\ucc3d\uc758\uc801\uc73c\ub85c \ud45c\ud604\ud558\uae30", "6083": "30ha\uae30\uc900, \uc2e0\uaddc 7,647\ucc9c\uc6d0/ha", "6084": "72\uac1c \ub300\ud559, 438\uac1c \uc0ac\uc5c5\ub2e8", "6085": "empty", "6086": "\uacbd\uae30 \ub290\ub9b0\ub9c8\uc744\ub77c\uc774\ud2b8\ub9c9\uac78\ub9ac", "6087": "1\ub2ec", "6088": "\ud574\uc591\uacbd\ucc30\uccad", "6089": "\uac77\uae30 \uc2e4\ucc9c\uc728", "6090": "empty", "6091": "\uc870\uc0ac 1\uc77c\uc804 \uc544\uce68\uc2dd\uc0ac\ub97c \uacb0\uc2dd\ud55c \ubd84\uc728", "6092": "\uad00\uad11\ubca4\ucc98\uc0ac\uc5c5", "6093": "15%\ubbf8\ub9cc", "6094": "empty", "6095": "empty", "6096": "empty", "6097": "\uc911", "6098": "\uc2a4\uc704\uc2a4 1 \uae30\uc5c5(1) \ud398\uc2ac \uc778\uc2a4\ud2b8\ub8e8\uba3c\uce20", "6099": "empty", "6100": "\uad50\uc6d0\uc5ed\ub7c9 \uac15\ud654 \ud55c\uae00\ubb38\ud574\ub825 \uc9c0\ub3c4 \uc5f0\uc218 7\uac1c", "6101": "80\ubd84", "6102": "4\uc6d4", "6103": "\uc0ac\ud68c\ud0d0\uad6c", "6104": "empty", "6105": "\ud64d\ucc9c\uad6d\uc720\ub9bc\uad00\ub9ac\uc18c", "6106": "\ubbf8\uad6d \ubbf8\ub124 \uc18c\ud0c0\uc8fc \u25e6\u2018\ubaa8\ub4e0 \ud559\uc0dd\uc758 \uc131\uacf5\ubc95", "6107": "empty", "6108": "\uac15\ud654\uad70 \uccad\ucc3d\ub18d\uc5f0\ud569\ud68c", "6109": "\uc5b4\uc5c5\uc778", "6110": "empty", "6111": "empty", "6112": "\uc2dc\uc815\uba85\ub839", "6113": "\uc778\ucc9c\ub300", "6114": "\ud1b5\uc2e0(\ube14\ub8e8\ud22c\uc2a4) \uae30\ub2a5\uc774 \uc788\ub294 \uc774\uc5b4\ud3f0 \ub4f1 \ubaa8\ub4e0 \uc804\uc790\uae30\uae30", "6115": "empty", "6116": "\uad50\uc721 \ubaa9\uc801\uc758 \uc9c4\uc785, \uc548\uc815\uc801 \uc6b4\uc601 (\uc124\ub9bd\uc790 \uc7ac\uc0b0 \ucd9c\uc5f0 \uc804\uc81c) \uc608\uc0b0 \uc720\uc6a9 \uac00\ub2a5\uc131 \ub0ae\uc74c", "6117": "\uc18c\ub144\ubc95", "6118": "empty", "6119": "10% \ubaa8\uc9d1\uc815\uc9c0", "6120": "\uc9c0\uc5ed\uc8fc\ubbfc\uacfc \ud568\uaed8\ud558\ub294 \ub18d\ucd0c\ub2e4\uc6c0 \ub418\uc0b4\ub9ac\uae30 \uccad\ub144 \ub18d\ucd0c\ubcf4\uae08\uc790\ub9ac \uc8fc\uac70\ub2e8\uc9c0 \uc870\uc131", "6121": "empty", "6122": "empty", "6123": "empty", "6124": "empty", "6125": "empty", "6126": "\uac15\uc6d0\ub3c4 \ud6a1\uc131\uad70 \ub454\ub0b4\uba74 \uac15\ubcc0\ub85c 975", "6127": "1\ucc9c\ub9cc\uc6d0 \uc774\ud558 \uacfc\ud0dc\ub8cc", "6128": "\ucda9\ubd81\ub300\ud559\uad50", "6129": "empty", "6130": "60\uc5b5\uc6d0", "6131": "\uc778\ucc9c/\uacbd\uae30", "6132": "\uad50\uc721\ubd80", "6133": "\uc11c\uc6b8", "6134": "empty", "6135": "\uc2a4\uc704\uc2a4", "6136": "100\uc810 80\ubd84", "6137": "\ubd80\uc0b0 BEXCO", "6138": "1\ub2e8\uacc4 \ud569\uaca9\uc790 \ubc1c\ud45c 10.29.(\uc6d4) \uc6b0\ub9ac\ub300\ud559 \ud648\ud398\uc774\uc9c0", "6139": "\ub0b4\uc2e0\ube44\uc911\ud655\ub300 \uacf5\uad50\uc721\uc815\uc0c1\ud654 \uc0ac\uad50\uc721\ube44 \uacbd\uac10", "6140": "\uc8fd\ub9ac\ub9c8\uc744", "6141": "13\uc5b5\uc6d0", "6142": "8cm \uc774\uc0c1", "6143": "empty", "6144": "\ub9ac\uc544\uc6b0\uc8fc \uae5c\ube60\ub974 14743", "6145": "\ubd09\ud669\ub9c8\uc744", "6146": "empty", "6147": "\uc2dd\ud488\uc0b0\uc5c5\uc9c4\ud765\uacfc", "6148": "Global Mind Development", "6149": "3\ud559\ub144", "6150": "\ud559\uc0ac\uc6b4\uc601 \uc120\uc9c4\ud654", "6151": "empty", "6152": "\uae30\uc7ac\ubd80", "6153": "23\uc810", "6154": "\ud589\uc548\ubd80", "6155": "188\uba85", "6156": "empty", "6157": "empty", "6158": "empty", "6159": "5\ub144 \ucca0\ud3d0", "6160": "empty", "6161": "empty", "6162": "empty", "6163": "\uc0ac\uc6a9\uc911\uc9c0\uba85\ub8391\uac1c\uc6d4", "6164": "empty", "6165": "empty", "6166": "\ud300\ub2f9 5\ud3c9 \uaddc\ubaa8\uc758 \ub3c5\ub9bd\uacf5\uac04\uacfc \uc624\ud508\uacf5\uac04", "6167": "empty", "6168": "137,376\uc6d0", "6169": "empty", "6170": "empty", "6171": "\uacbd\ub0a8 \ub0a8\ud574", "6172": "46.9%", "6173": "\ud45c\uace0\ubc84\uc12f\uacfc\uc790", "6174": "empty", "6175": "\ubcf8\uc778", "6176": "empty", "6177": "empty", "6178": "29", "6179": "\uc720\uce58\uc6d0\uc744 \ub2e4\ub2cc \ub098\uc774", "6180": "empty", "6181": "empty", "6182": "\uc7ac\ub2e8 \uc0ac\uc5c5\uc744 \ud6a8\uc728\uc801\uc73c\ub85c \uc6b4\uc601\ud560 \uc218 \uc788\ub294 \uc804\ubb38 \uc778\uc7ac \uc591\uc131", "6183": "empty", "6184": "4\uac1c\uc601\uc5ed", "6185": "\uacc4\ub3c4\ub9c8\uc744", "6186": "\uc81c\uc8fc", "6187": "empty", "6188": "empty", "6189": "\uc11c\ub300\ubb38 \ub18d\ud611\uc911\uc559\ud68c \uc7a5\uad00\ub2d8, \uc804\uacbd\ub828 \ud68c\uc7a5, \ub18d\ud611\uc911\uc559\ud68c\uc7a5 \ub4f1 200\uc5ec\uba85", "6190": "\uc2e0\uc99d\ud6c4\uad70\ucd9c\ud608\uc5f4", "6191": "\uc218\uc8fc\ucd08", "6192": "empty", "6193": "empty", "6194": "\uc81c56\uc870", "6195": "empty", "6196": "9\uc6d4 ~ 12\uc6d4", "6197": "empty", "6198": "3\ub144", "6199": "807\uba85", "6200": "\ub18d\ucd0c\uc804\ud1b5\ud14c\ub9c8\ub9c8\uc744 \ud638\ubc15\ub4f1\ubd88\ub9c8\uc744", "6201": "2016\ub144 5\uc6d4 \uc911", "6202": "empty", "6203": "45 5\uc9c0\uc120\ub2e4\ud615 23 100\uc810 80\ubd84", "6204": "\uc0b0\ubc29\ud574\uc800\ub180, \uc544\ub77c\uc628\ud574\uc800\uad6c\ub989", "6205": "empty", "6206": "\uc804\ubd81\ub300\ud559\uad50", "6207": "\uc11c\uc6b8\ub300\ud559\uad50", "6208": "empty", "6209": "empty", "6210": "empty", "6211": "empty", "6212": "\uba54\ub69c\uae30", "6213": "\ub374\uc18c\ucf54\ub9ac\uc544\uc77c\ub809\ud2b8\ub85c\ub2c9\uc2a4 \ud559\uc0ac 39\uba85", "6214": "empty", "6215": "empty", "6216": "empty", "6217": "1 \uacbd\uae30\ub3c4", "6218": "282\ub9cc\uac74", "6219": "10\uad50 \u00d7 50\uc5b5\uc6d0 500\uc5b5\uc6d0 \u2218\uc0ac\uc5c5\uad00\ub9ac\ube44 12\uc5b5\uc6d0", "6220": "empty", "6221": "417\uac1c/4.4\ub9cc", "6222": "empty", "6223": "empty", "6224": "20\uba85 \ub0b4\uc678", "6225": "empty", "6226": "\uc2e0/\ud3b8\uc785\uc0dd\uc744 \uc81c\uc678\ud55c \uc2e0\uccad\uc790", "6227": "empty", "6228": "630\uc5b5\uc6d0", "6229": "empty", "6230": "\ubc14\uc774\uc624\uc81c\uc57d\uacf5\uc815\uacfc", "6231": "empty", "6232": "empty", "6233": "\ub300\ud559\uc0dd ~ \uc131\uc778 \uc778\ud130\ub137", "6234": "empty", "6235": "\uc0dd\ud65c \ubc0f \uacf5\uc5c5\uc6a9\uc218", "6236": "empty", "6237": "empty", "6238": "empty", "6239": "empty", "6240": "empty", "6241": "empty", "6242": "empty", "6243": "empty", "6244": "\uace0\uc6a9\ub178\ub3d9\ubd80", "6245": "\uc790\ub3d9\ucc28\ubd80\ud488, \ubc14\uc774\uc624\uc18c\uc7ac", "6246": "40\ud3c9 1\uac1c\uc18c(\ub9e4\uc8fc \uc6d4\uc694\uc77c \uc81c\uc678 \uc0c1\uc2dc \uc6b4\uc601) 50\uba85", "6247": "empty", "6248": "empty", "6249": "6. \uac1c\ubc1c \ud14c\uc2a4\ud2b8", "6250": "60h", "6251": "empty", "6252": "\u25aa\uc2a4\ub9c8\ud2b8\ud31c \uccad\ub144\ucc3d\uc5c5 \ubcf4\uc721\uc13c\ud130", "6253": "empty", "6254": "empty", "6255": "\ub355\uace0\ub9c8\uc744", "6256": "\ucc3d\uc5c5\uad00", "6257": "empty", "6258": "7\uac1c \uc601\uc5ed 25\uac1c \uc911\ubd84\ub958 52\uac1c", "6259": "empty", "6260": "empty", "6261": "14", "6262": "\ud638\uae30\uc2ec\uc744 \uc720\uc9c0\ud558\uace0 \ud655\uc7a5\ud558\uae30", "6263": "\uad50\uc721\uc2dc\uc124\uacfc", "6264": "\uc804\uc8fc\uae30\uc804\ub300\ud559", "6265": "\ub274\uc2a4\ud65c\uc6a9\uad50\uc721", "6266": "2\uba85", "6267": "SK", "6268": "empty", "6269": "empty", "6270": "empty", "6271": "empty", "6272": "empty", "6273": "empty", "6274": "empty", "6275": "\uadc0\ub18d\ucee8\ud37c\ub7f0\uc2a4", "6276": "empty", "6277": "empty", "6278": "empty", "6279": "\uc5f0\uad6c\uc2e4 \uc548\uc804\uad00\ub9ac \uad50\uc721 \u25e6 \ub18d\uc0b0\ubb3c \uc911 \uacf0\ud321\uc774\ub3c5\uc18c \ubd84\uc11d \uc774\ub860 \ubc0f \uc2e4\uc2b5 \ub18d\uad00\uc6d0 \uc2dc\ud5d8\uc5f0\uad6c\uc18c", "6280": "\uc640\uad6c\ubc29 \uc561\uc81c \uc815\ud5a5 \ucd94\ucd9c\ubb3c", "6281": "\uad50\uc721\ud6c8\ub828", "6282": "empty", "6283": "empty", "6284": "0.6", "6285": "\uc11c\uc6b8\ub300", "6286": "8\uac1c\uc18c", "6287": "\uace4\ucda9\ucfe0\ud0a4 4\uc885", "6288": "\uc774\ud0c8\ub9ac\uc544 \ud0dc\uad6d, \ubca0\ud2b8\ub0a8 \ub4f1", "6289": "empty", "6290": "6.7%", "6291": "empty", "6292": "empty", "6293": "\uc138\ub300\ub2f9 120\ub9cc\uc6d0", "6294": "\uc131\uade0\uad00\ub300", "6295": "\uc0dd\ud0dc\uae30\ud589\ud300", "6296": "\ud559\uad50\uad50\uc721 \uc815\uc0c1\ud654 \uc9c0\uc6d0", "6297": "empty", "6298": "empty", "6299": "empty", "6300": "empty", "6301": "empty", "6302": "20\ubd84", "6303": "1\ub144", "6304": "21\uba85", "6305": "56", "6306": "\uc911\uad6c\uccad", "6307": "empty", "6308": "\uacbd\uae30\uad8c \ubcf4\ub9bf\uace0\uac1c \ub9c8\uc744", "6309": "20\uc810) 2.2 \uc778\ubb38\ud559 \uad50\uc6d0 \ucda9\uc6d0 \ud655\ub300 \uacc4\ud68d", "6310": "empty", "6311": "48\uba85", "6312": "empty", "6313": "\ud2f0\uc5d0\uc2a4", "6314": "\ud55c\uad6d\uc131\uc11c\ub300\ud559\uad50", "6315": "\uac04 \ubcf4\ud638\uc640 \uc54c\ucf54\uc62c \ud574\ub3c5/ \ud574\uc5f4/\uc2e0\uacbd\ub9c8\ube44\ub97c \uce58\ub8cc", "6316": "empty", "6317": "\ub81b\uce20\ub7f0\ud30c\ud06c \uc11c\uc6b8", "6318": "\uc591\uc131\ub18d\ud611", "6319": "1\ucc28\ub144\ub3c4 \uc131\uacfc\ud3c9\uac00", "6320": "\ub9c8\uc0b0\uc5ec\uace0", "6321": "empty", "6322": "\uc6d0\ubaa9\uc774\ub098 \uac00\uacf5\ub41c \ubaa9\uc81c\ud488\uc5d0 \ud0c4\uc18c\ub97c \uc800\uc7a5", "6323": "empty", "6324": "empty", "6325": "6", "6326": "empty", "6327": "61\uac1c\uad50", "6328": "empty", "6329": "empty", "6330": "\ucee8\ud14c\uc774\ub108 \ucd1d \ubb3c\ub7c9\uc73c\ub85c \uc2e0\uccad", "6331": "\uc0b0\uba38\ub8e8\ub18d\uc6d0 \uc601\ub18d\uc870\ud569\ubc95\uc778", "6332": "\ud568\ud604\uc911", "6333": "\uac1c\uccb4\uad00\ub9ac \uac1c\uccb4\uad00\ub9ac \ubc1c\uc815\uac10\uc9c0\uae30, \uc0dd\uccb4\uc815\ubcf4\uad00\ub9ac\uae30 \ub4f1 \uc0ac\uc591\uad00\ub9ac", "6334": "11\uba85", "6335": "empty", "6336": "\ub300\ud654\ub18d\ud611", "6337": "empty", "6338": "2,665\uc5b5\uc6d0", "6339": "empty", "6340": "empty", "6341": "\uafc8 \ud0a4\uc6c0\uad00", "6342": "empty", "6343": "\u321c\ud55c\uad6d\ub18d\uc2dd\ud488\uc778\uc99d\uc6d0", "6344": "\ubd80\uc0b0\ub300, \uc6b8\uc0b0\ub300, \uc778\ud558\ub300", "6345": "empty", "6346": "\ucda9\uccad\ub0a8\ub3c4(\ub18d\uc5c5\uc815\ucc45\uacfc) 3.20~4.23 \uc81c\uc8fc\ub3c4", "6347": "empty", "6348": "empty", "6349": "\uc785\ud559\uc790\uaca9 \ubc0f \uc218\uc5ec\ud559\uc704", "6350": "empty", "6351": "6\uc138", "6352": "empty", "6353": "\uc804\ubd81 \uc775\uc0b0", "6354": "828\ucc9c\uc6d0", "6355": "\uacbd\ubd81\uc678\uad6d\uc5b4\ub300\ud559\uad50, \uc81c\uc8fc\uad6d\uc81c\ub300\ud559\uad50", "6356": "50km \uc774\ub0b4", "6357": "empty", "6358": "\ubc1c\uc0ac\uc7a5 \ubc0f \uc778\uadfc 50km \uc774\ub0b4 \uac15\uc218\uac00 \uc5c6\uc744 \uac83", "6359": "\uc778\uc99d\uc2ec\uc0ac", "6360": "\uac04\uc811 \uc9c0\uc6d0", "6361": "\uc601\ucc9c\uc911 \uad11\uc8fc", "6362": "empty", "6363": "\ubca0\ud2b8\ub0a8, \ud544\ub9ac\ud540, \ud0dc\uad6d, \uc778\ub3c4\ub124\uc2dc\uc544, \ubab0\ub514\ube0c", "6364": "\uc778\ucc9c \uc1a1\ub3c4", "6365": "10\uba85", "6366": "empty", "6367": "GIST", "6368": "empty", "6369": "15%\uc5d0\uc11c 45%\ub85c \ud655\ub300", "6370": "12.1\uff5e2 365 421 239 \uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uad6d\uae30\uc6d0", "6371": "\uc0bc\uc131\uc804\uc790", "6372": "\ud6c4\ubcf4\uc790\ubcc4 \ud3c9\uac00\uc704\uc6d0\ud68c\ub97c \uad6c\uc131\ud558\uc5ec \uc2ec\uce35\ud3c9\uac00 \uc2e4\uc2dc * \uc808\ucc28 : \ud3c9\uac00\ub300\uc0c1\uc790 \uacb0\uc815", "6373": "\uc870\ub3d9\ucd08", "6374": "empty", "6375": "\ub300\uc131\uc5ec\uc911", "6376": "empty", "6377": "\uc624\ud508\uad11\uc7a5", "6378": "\uc644\ub3c4\uc218\uc0b0\uace0", "6379": "empty", "6380": "\ub178\uace0\ubd09 \uc774\uc0b0\ud654\ud0c4\uc18c \uc18c\ud654 \uc218\ub958\ud0c4", "6381": "empty", "6382": "empty", "6383": "empty", "6384": "empty", "6385": "empty", "6386": "\uc5f0\uc138\ub300", "6387": "\ubb38\uc81c\ud574\uacb0\ub2a5\ub825", "6388": "empty", "6389": "8\ud68c", "6390": "empty", "6391": "KaTA \uacf5\uc5f0", "6392": "\uc720\uae30 \ubc15\ub9c9 \ud2b8\ub79c\uc9c0\uc2a4\ud130", "6393": "\ud504\ub791\ucf04 \uc704\ub2c8", "6394": "\uc778\uac04\uc720\uc804\uccb4 \uae30\ub2a5\uc5f0\uad6c", "6395": "empty", "6396": "empty", "6397": "\uc601\uc5b4", "6398": "empty", "6399": "\ucd08\ub4f1\ud559\uad50 \ud559\uc0dd \ubc0f \uad50\uc0ac 30\uba85", "6400": "\uacbd\ub0a8\ub300", "6401": "\uc11c\uc6b8 \uc804\uc9c0\uc5ed(25\uac1c \uc790\uce58\uad6c) 793 761 32", "6402": "\uae30\ud68d\uc7ac\uc815\ubd80", "6403": "2012\ub144", "6404": "31 \uc774\ud558", "6405": "\ud55c\uc591\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "6406": "empty", "6407": "\uc0b0\ucc44\ub9c8\uc744", "6408": "empty", "6409": "empty", "6410": "empty", "6411": "empty", "6412": "empty", "6413": "empty", "6414": "\ub18d\uc2dd\ud488\ubd80", "6415": "\uadf8\ub9b0\ub370\uc774\ud130\uc13c\ud130", "6416": "\uc2a4\ud2b8\ub9bf \ub304\uc2a4 \uc7a5\ub974\ubcc4 \uadf8\ub8f9\uc5f0\uc2b5 \uac15\uc6d4\ucd08", "6417": "\uc2a4\uce74\uc774\ub77c\uc774\ud504", "6418": "empty", "6419": "\ud55c\uad6d\uc2dd\ud488\uc5f0\uad6c\uc6d0", "6420": "\ud615\uc11d\uace0", "6421": "empty", "6422": "\uc0ac\uc774\uc5b8\uc2a4\ubd81\uc2a4", "6423": "\ud55c\uad6d/\uc11c\uc6b8 \uccad\uc911 250\uc5ec\uba85", "6424": "\uc6b8\uc0b0 \uc804\ud558\ucd08", "6425": "empty", "6426": "\uc804\uc790\uae30\uacc4\uc0b0\uc5c5 200", "6427": "\uc11c\uadc0\ud3ec \ub9e4\uc77c\uc62c\ub808\uc2dc\uc7a5", "6428": "empty", "6429": "\uc18c\ub098\ubb34 70\ub144", "6430": "empty", "6431": "\uad11\uc8fc \ub3d9\uad6c\uccad", "6432": "\uc6b0\uc815\ubd88\ud328", "6433": "empty", "6434": "\uc560\ubc8c\ub808\uc758 \uc5ec\ud589", "6435": "\uc218\ub3c4\uad8c", "6436": "20", "6437": "184\uba85", "6438": "48\uac1c", "6439": "8", "6440": "empty", "6441": "empty", "6442": "\uacbd\uae30 \ud3ec\ucc9c\uc2dc", "6443": "15\uba85 31\uba85 15\uba85 31\uba85 7\uba85 \ub0b4\uc678", "6444": "\uad6c\ubbf8\ub300", "6445": "\uc124\ubb38\uc870\uc0ac \uc0b0\ud559\uc5f0\uacc4 \uad50\uc721 \uc778\ud504\ub77c", "6446": "empty", "6447": "empty", "6448": "empty", "6449": "empty", "6450": "\ub4dc\ub9bc\uc13c\ud130", "6451": "\ubcf4\uc218 \ub9ce\uc740 \ub3c8\uc744 \ubc84\ub294 \uac83", "6452": "10% \uc774\uc0c1", "6453": "2011\ub144 2\ud559\uae30", "6454": "1,700\uba85", "6455": "60% \uc774\ud558", "6456": "\ud53c\ud574\uc790 \uc815\ubcf4 \ubc0f \ud559\uad50\ud3ed\ub825 \ud53c\ud574\uc0ac\ub840\uac00 \uad6c\uccb4\uc801\uc774\uc9c0 \uc54a\uc740 \uacbd\uc6b0", "6457": "40\ubd84", "6458": "\uc6d4\uc131\ucd08, \ud654\ub791\uc911", "6459": "empty", "6460": "empty", "6461": "20", "6462": "\uc9c0\uc5ed\ub300\ud559\uc6b0\uc218\uacfc\ud559\uc790 \uc9c0\uc6d0 \ub300\uc0c1 \uc774\uacf5\ud559\ubd84\uc57c \uad50\uc6d0", "6463": "6. 29.(\ud1a0) \uacbd\ub0a8 \ud1b5\uc601\uc2dc \uc0b0\uc591\uc74d \ucd94\ub3c4", "6464": "empty", "6465": "empty", "6466": "empty", "6467": "empty", "6468": "empty", "6469": "\uac00\ucd95\ub3d9\ud5a5\uc870\uc0ac", "6470": "empty", "6471": "empty", "6472": "5\uff5e6\uc5b5\uc6d0 \ub0b4\uc678", "6473": "empty", "6474": "empty", "6475": "160", "6476": "30\uba85 \ub0b4\uc678 \ud30c\uacac\uad6d \ubabd\uace8\u00b7\ud544\ub9ac\ud540 \u00b7\uc2a4\ub9ac\ub791\uce74", "6477": "480\uc5b5\uc6d0", "6478": "empty", "6479": "\ud559\uad50\uc9c0\uc6d0", "6480": "\uc804\ub0a8\ub3c4\ub9bd\ub300\ud559", "6481": "empty", "6482": "empty", "6483": "\uacbd\ud76c\ub300\ud559\uad50", "6484": "empty", "6485": "40 %", "6486": "\uace0\ub824\ub300 (\uad6d\uc81c\ub300\ud559\uc6d0) \ubcf5\ub2e8\ub300 \uace0\ubca0\ub300", "6487": "empty", "6488": "empty", "6489": "9\uac1c", "6490": "empty", "6491": "\ud2b9\ubcc4\uc2dc/\uad11\uc5ed\uc2dc \uc9c0\uc5ed \uc18c\uc7ac Wee\uc13c\ud130 \ud65c\uc6a9", "6492": "20~40\uc5b5\uc6d0", "6493": "\uc778\ucc9c \uc5f0\uc218\ucd08", "6494": "empty", "6495": "\ubbf8\ub798\ud615 \uc735\ud569\uc778\uc7ac\uad50\uc721(STEAM) \uac15\ud654\ubd80\ubb38 \uc608\uc0b0 \ub300\ud3ed \ud655\ub300", "6496": "\uacbd\uc8fc\uc5d0\uc11c \uac1c\ucd5c(3\uad8c\uc5ed \ubd84\uc0b0 \uac1c\ucd5c) \uacbd\uc0c1\ubd81\ub3c4\uad50\uc721\uccad", "6497": "empty", "6498": "empty", "6499": "empty", "6500": "empty", "6501": "empty", "6502": "55.6%", "6503": "5\uff5e6\uc5b5\uc6d0 \ub0b4\uc678", "6504": "empty", "6505": "20(2011) \u2192 100", "6506": "\ubaa9\uacf5\uad50\uc721\uad50\uc0ac\uc5f0\uad6c\ud68c", "6507": "empty", "6508": "\uc7a5\uc790\ub9c8\uc744 \ud589\ubcf5\ud559\uc2b5\uad00", "6509": "\uc6c5\uc9c4\uc53d\ud06c\ube45", "6510": "empty", "6511": "empty", "6512": "\uace0\ub4f1\uad50\uc721\uc5d0 \ub300\ud55c \uc811\uadfc\uc790\uaca9 \uc778\uc815", "6513": "\uc9c0\uc5ed\ubb38\ud654\ub2e8\uccb4", "6514": "empty", "6515": "empty", "6516": "\ub300\ud559\uc0dd \uacfc\ud559\ub098\ub214 \ubd09\uc0ac\ub2e8", "6517": "5\ubd84", "6518": "\uc11c\uc6b8\ub85c\ubd07\uace0", "6519": "\uad50\uc721\uacfc\uc815\u00b7\uad50\uacfc\uc11c", "6520": "13\uc2dc\uac04", "6521": "\uc5b4\ub9b0\uc774 \uacbd\uc81c \ubba4\uc9c0\uceec", "6522": "\uc5b4\ub9b0\uc774 \uacbd\uc81c \ubba4\uc9c0\uceec", "6523": "empty", "6524": "\uc870\uc120\ud574\uc591\ud50c\ub79c\ud2b8 \uae00\ub85c\ubc8c\ud575\uc2ec\uc5f0\uad6c\uc13c\ud130", "6525": "\uc2a4\uc6e8\ub374, \ud540\ub780\ub4dc", "6526": "empty", "6527": "60", "6528": "5\ucc9c\ub9cc\uc6d0", "6529": "empty", "6530": "\ub9cc9\uc138\uff5e39\uc138", "6531": "empty", "6532": "empty", "6533": "empty", "6534": "20\ud3b8", "6535": "1\uc870 5,000\uc5b5\uc6d0", "6536": "0.75\uc870\uc6d0", "6537": "\uc544\uc0ad", "6538": "empty", "6539": "empty", "6540": "\ud0a4\ub2c8\ucf00\uc5b4", "6541": "\ub300\uad6c\ub300\ud559\uad50", "6542": "4", "6543": "\ucda9\ubd81\ub300\ud559\uad50", "6544": "empty", "6545": "\ucd98\ucc9c\uc9c0\uc5ed\uc0ac\ud68c\uad50\uc721\ud611\uc758\ud68c", "6546": "\uae00\ub85c\ubc8c \uae30\uc5c5\uad00", "6547": "empty", "6548": "empty", "6549": "4~7\ubd84\uc704", "6550": "empty", "6551": "empty", "6552": "\ub208\uc8fc\ubaa9,\ub208\ud5a5\ub098\ubb34", "6553": "1,000\uc6d0", "6554": "\uc5f0\uc138\ub300, \uc6a9\uc778\ub300", "6555": "empty", "6556": "10\uac1c\uad6d 97\uac1c\uc0ac 210\ubd80\uc2a4", "6557": "14", "6558": "empty", "6559": "empty", "6560": "400\uba85", "6561": "60\ubc31\ub9cc\uc6d0 \uc774\ub0b4", "6562": "empty", "6563": "empty", "6564": "\uc774\ucc9c\uc300, \uac15\ud654\uc57d\uc465, \uc5ec\uc8fc\uc300, \uae40\ud3ec\uc300, \uc548\uc131\ubc30, \uc548\uc131\uc300", "6565": "243\uc5b5\uc6d0", "6566": "\uc774\uacf5\ubd84\uc57c \uc5ec\uc131\uad50\uc6d0(\uc804\uc784\u00b7\ube44\uc804\uc784) 0.45 3\ub144", "6567": "empty", "6568": "empty", "6569": "empty", "6570": "\ud55c\uc2dd\uc7ac\ub2e8", "6571": "5\uba85", "6572": "6\uba85", "6573": "\uad50\uc721\ud2b9\ud654\uc9c0\uc6d0", "6574": "18.7\uac74", "6575": "2,400\ub9cc\uc6d0", "6576": "\uc790\uc544\uc874\uc911\uac10, \uac10\uc815\uc870\uc808\uacfc \ud45c\ud604", "6577": "1,538\uba85", "6578": "09:00~18:00 \uc628\ub77c\uc778\u00b7\ud604\uc7a5 \uc608\ub9e4 \uc5b4\uc6b8\ub9bc \ud640", "6579": "\ucd08\ub4f1\ud559\uad50", "6580": "empty", "6581": "empty", "6582": "1,000\uc6d0", "6583": "empty", "6584": "empty", "6585": "empty", "6586": "empty", "6587": "empty", "6588": "\uc5f0\uc138\ub300\ud559\uad50", "6589": "empty", "6590": "empty", "6591": "empty", "6592": "\uacf5\ub3d9\uccb4\uc131", "6593": "1,000\ub9cc\uc6d0", "6594": "\ubd80\uc0b0\ub300\ud559\uad50", "6595": "empty", "6596": "\uc0ac\uace0\ubb49\uce58\uace0\ub4f1\uc5b4", "6597": "empty", "6598": "empty", "6599": "\ud654\uc21c\uc804\ub0a8\ub300\ud559\ubcd1\uc6d0", "6600": "empty", "6601": "empty", "6602": "10 45\ubd84", "6603": "49\uba85", "6604": "empty", "6605": "empty", "6606": "\uc0bc\uc131\ud0c8\ub808\uc2a4(\uc644\ub8cc) \uc704\uc131\ud1b5\uc2e0\uc2dc\uc2a4\ud15c \uae30\ub2a5 \ubc0f \uc131\ub2a5 \uac80\uc99d 27", "6607": "46", "6608": "\uacbd\uae30\ub3c4", "6609": "empty", "6610": "\ub374\ub9c8\ud06c", "6611": "\uac70\uc81c\ub300\ud559", "6612": "\uc804\ubd81", "6613": "\uac70\uc81c\ub300\ud559", "6614": "1\ud300 1,000\ub9cc\uc6d0", "6615": "2012", "6616": "2004 nan \uc758\ud559 \uc758\ud559\uad50\uc721\ud3c9\uac00\uc6d0", "6617": "\uacbd\uc601\uad50\uc721\uc778\uc99d\uc6d0", "6618": "empty", "6619": "\uace0\uac00 \uc2e4\uc2b5\uc7a5\ube44 \ub9c8\ub828 \uc5b4\ub824\uc6c0", "6620": "74\uac1c\uad50", "6621": "16 1158 624", "6622": "empty", "6623": "empty", "6624": "60\ubc31\ub9cc\uc6d0", "6625": "\ubaa8\ud5d8\uc5f0\uad6c", "6626": "empty", "6627": "empty", "6628": "\u25aa\ud559\uad50 \uc6b0\uc218\uc131, \uad6d\uc81c\uc801 \uba85\uc131\ub3c4", "6629": "20.6\uac74", "6630": "60\ubc31\ub9cc\uc6d0/\ub144 \uc774\ub0b4 35\ubc31\ub9cc\uc6d0/\ub144 \uc774\ub0b4", "6631": "\uc804\uad6d\ub300\ud559\ubc1c\uba85\ub3d9\uc544\ub9ac\uc5f0\ud569\ud68c", "6632": "\uc2e0\uc120\ud3b8\uc758 \uc2dd\ud488", "6633": "\ubbfc\ub4e4\ub808\uae30\ud68d", "6634": "empty", "6635": "195\uc5b5\uc6d0", "6636": "600\uba85", "6637": "6\uc2dc\uac04 \u3003 \ud64d\ucf69\ub300 \ubcf4\uac74\uacfc \uc124\uc0ac\ubcc0 pH9 4\uc77c", "6638": "1\uac1c\uad50", "6639": "0.75\uc870\uc6d0", "6640": "1,570,000\uba85", "6641": "\uffee \ud3b8\uc785\uc0dd 50\uba85", "6642": "1\ucc9c\ub9cc\uc6d0 \uc774\ud558", "6643": "empty", "6644": "empty", "6645": "\ucd084\ud559\ub144 \uc774\uc0c1", "6646": "\uc0ac\uc774\ubc84\uad50\uc721", "6647": "\uc5f0 1\ud68c \ud574\ub2f9 \ud559\uad50\uc758 \ub9e4\ud559\ub144\ub3c4 \uac1c\uc2dc\uc77c\ubd80\ud130 2\uac1c\uc6d4 \uc774\ub0b4", "6648": "\ucda9\ub0a8 \ucc9c\uc548 \ub3c5\ub9bd\uae30\ub150\uad00", "6649": "empty", "6650": "empty", "6651": "17\uad50", "6652": "50\ub9cc\uc6d0\uc529 4\ud68c \ucd1d 200\ub9cc\uc6d0", "6653": "16", "6654": "90\ub9cc\uc6d0/ha 100\ub9cc\uc6d0/ha", "6655": "empty", "6656": "5 5\ub144", "6657": "2000", "6658": "\uc11c\uc6b8 \ub300\uc6d0\uc678\uad6d\uc5b4\uace0 \uacbd\uae30 \uace0\uc591\uc678\uad6d\uc5b4\uace0", "6659": "\ubbf8\uad6d", "6660": "empty", "6661": "empty", "6662": "\uacf5\uad70\ud56d\uacf5\uacfc\ud559\uace0", "6663": "\uc784\ub300 \uac00\ub2a5 \uad50\uc0ac\u0387\uad50\uc9c0", "6664": "\ub86f\ub370\ud478\ub4dc", "6665": "\uad50\uc9c1\uc6d0", "6666": "\uad50\uc721\uc9c0\uc6d0\uccad", "6667": "empty", "6668": "empty", "6669": "210,000\uc6d0", "6670": "\uacbd\uc778\uad50\ub300 \uc74c\uc545\uad50\uc721\uacfc \ub300\ud559\uc0dd \uba58\ud1a0", "6671": "\uc18c\ube44\uc790 \ubc0f MD \ub300\uc0c1 \ub18d\uc2dd\ud488 \uae30\uc5c5 \uc9c1\uc811 \ud64d\ubcf4", "6672": "empty", "6673": "\ub9c8\ub298", "6674": "\uc11c\uc6b8 \u318d\ubc2410\uc2dc\ub85c \uc870\ub840 \uac1c\uc815 \uc644\ub8cc", "6675": "empty", "6676": "empty", "6677": "300\ub9cc\uc6d0", "6678": "40\ubd84 \ub4e3\uae30 40 40\ubd84", "6679": "\ub18d\uc5c5\uc774\ud574, \ub18d\uc5c5\uae30\ucd08\uae30\uc220", "6680": "\uc2dc\uc2a4\ud15c\uc81c\uc5b4", "6681": "10 40\ubd84", "6682": "empty", "6683": "\ubbfc\uac04 \uc911\uc2ec \ub098\ub178\uc81c\ud488 \ud488\uc9c8\uc778\uc99d \ub9c8\ud06c \uac1c\ubc1c", "6684": "71.0", "6685": "empty", "6686": "empty", "6687": "empty", "6688": "empty", "6689": "\ud55c\ud654\uc544\ucfe0\uc544\ud50c\ub77c\ub137 \uc5ec\uc218", "6690": "\ud559\uac00\uc0b0\uace0\ub7ad\uc9c0 \ubc30\ucd94\uae40\uce58(\uacbd\ubd81 \uc548\ub3d9) \ubc30\ucd94 \uae40\uce58 \ucd5c\uc6b0\uc218\uc0c1", "6691": "empty", "6692": "\ucca0\uc0c8\uc8fc\uc758 \ub2e8\uacc4", "6693": "\uc81c\ud55c\uc5c6\uc74c \uae30\uc5c5\uc2e0\uc6a9\ub4f1\uae09 9\ub4f1\uae09 \uc774\uc0c1", "6694": "empty", "6695": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80 \uc7a5\uad00\uc0c1 1\uc810 200\ub9cc\uc6d0", "6696": "empty", "6697": "5\ucc9c\ub9cc\uc6d0", "6698": "empty", "6699": "6.9%", "6700": "50%", "6701": "\ub18d\uc5c5 \uc6a9\uc218 \uc8fc\uc758", "6702": "\uc740\ucc99\uc591\uc870\uc7a5 \uacbd\ubd81 \uc0c1\uc8fc\uc2dc \ud0c1\uc8fc 20%", "6703": "\uc790\uc0b0\uad00\ub9ac, \ucc44\ubb34\uc790\uc758 \uc758\ubb34\uc640 \ubcf4\ud638 \ub4f1 \uc2e4\uc0dd\ud65c\uc5d0 \uc720\uc6a9\ud55c \ub0b4\uc6a9\uc744 \uc911\uc2ec\uc73c\ub85c \uad6c\uc131", "6704": "empty", "6705": "4. \ubbf8\ub798 \uc0ac\ud68c\uc5d0 \ub300\ud55c \ub300\ube44", "6706": "empty", "6707": "empty", "6708": "empty", "6709": "\uc548\uc815\ub300 \ud3c9\uade0\uac12 + \ud45c\uc900\ud3b8\ucc28\uc758 0.5\ubc30 \uc774\ub0b4", "6710": "\ub2e8\uae30\u00b7\uc77c\ud68c\uc131", "6711": "empty", "6712": "empty", "6713": "empty", "6714": "\uc74c\ub8cc \uc11c\uc6b8\uc2dc", "6715": "empty", "6716": "empty", "6717": "\uc9c0\uc624\ub9ad\uc2a4", "6718": "\uac15\uc6d0 \uc815\uc120\uad70 \uac1c\ubbf8\ub4e4\uad8c\uc5ed", "6719": "empty", "6720": "empty", "6721": "25.2%", "6722": "\ud611\uc2ec\uc5b4\ub9b0\uc774\uc9d1", "6723": "\uacbd\uae30 \uc548\uc591 \ud611\uc2ec \uc5b4\ub9b0\uc774\uc9d1", "6724": "empty", "6725": "25\u00b0\uc774\ud558", "6726": "empty", "6727": "449\ud1a4 1,937\ucc9c\ubd88 2015\ub144 3,760\ud1a4", "6728": "empty", "6729": "20\uc810", "6730": "33\uac1c\uc18c", "6731": "\uac04\uc808\uacf6\ub4f1\ub300", "6732": "\uc778\ub3c4", "6733": "empty", "6734": "empty", "6735": "\uc2dc\uc124\ud604\ub300\ud654+\uac04\ud3b8\uc81c\uc5b4", "6736": "40\uc810", "6737": "17", "6738": "4\uac1c\uc18c", "6739": "\uc911\uc559 \ubb34\ub300", "6740": "empty", "6741": "4.22\uc810 3.87\uc810", "6742": "6\uc2dc\uac04", "6743": "empty", "6744": "empty", "6745": "empty", "6746": "empty", "6747": "empty", "6748": "\ud56d\uc800\uc6b0", "6749": "\uac80\uc815\uace0\uc2dc \uc131\uc801\uc99d\uba85\uc11c", "6750": "\ubd80\uc0b0 \uae30\uc7a5", "6751": "empty", "6752": "\uad6d\uad81\uccb4\ud5d8, \ub5a1\ub9e4\uce58\uae30, \ubee5\ud2f0\uae30\uccb4\ud5d8, \uc804\ub798\ub180\uc774\uccb4\ud5d8", "6753": "400\ub9cc\uc6d0", "6754": "empty", "6755": "empty", "6756": "\ud604\uc7a5 \uae30\uc220\uc218\uc694 \uc2e4\uc2dc 3.25~4.13 \ub300\uad6d\ubbfc", "6757": "\uac10\uade4", "6758": "40\uba85", "6759": "empty", "6760": "empty", "6761": "13\uac1c", "6762": "50%", "6763": "70% \uc808\uac10", "6764": "GiGA \uc0ac\ub791\ubc29 \uc870\uc131", "6765": "\uc885\uc624\ub9ac", "6766": "\ud55c\uad6d\ud658\uacbd\uacf5\ub2e8", "6767": "empty", "6768": "empty", "6769": "empty", "6770": "30\uac74", "6771": "\ucd94\uc0ac\uc560\ud50c\uc640\uc778", "6772": "\uc804\ub0a8\ub18d\uc5c5\uae30\uc220\uc6d0", "6773": "empty", "6774": "empty", "6775": "16\uac1c", "6776": "3\ub144\uac04 100% \uba74\uc81c, \uc774\ud6c4 2\ub144\uac04 50% \uac10\uba74", "6777": "\ub2f4\uc591 \uc0bc\uc9c0\ub0b4\ub9c8\uc744, \uc12c\uc9c4\uac15\uae30\ucc28\ub9c8\uc744", "6778": "empty", "6779": "empty", "6780": "2,339\ud1a4", "6781": "empty", "6782": "empty", "6783": "empty", "6784": "\uc740\ud589\ub9c8\uc744 \uc804\ubd81 \uc9c4\uc548 \uc6b4\uc7a5\uc0b0 \uc640\ub8e1\ub9c8\uc744", "6785": "\uc11c\uc6b8\uc6a9\uc0b0\ucd08\ub4f1\ud559\uad50", "6786": "15\uc810", "6787": "empty", "6788": "\ub300\uc0c1\uc8fc\uc2dd\ud68c\uc0ac", "6789": "\uc74c\ub8cc", "6790": "empty", "6791": "2ml/\ub450 \ub3fc\uc9c0 \u2460 \ubaa8\ub3c8 - \ubd84\ub9cc 3\u223c4\uc8fc\uc804", "6792": "\ud558\uacc4 \ub3d9\uacc4 \ub17c \uc7ac\ubc30 \uc0ac\ub8cc\u00b7\uc2dd\ub7c9\uc791\ubb3c", "6793": "empty", "6794": "empty", "6795": "5\ucc9c \ub9cc\uc6d0", "6796": "empty", "6797": "\ub300\uc0c1\uc5d0\ud504\uc564\uc5d0\ud504", "6798": "\ud558\ub0a8\uc591\ub5a1\uba54\ub9c8\uc744", "6799": "\uc624\ud508\ub9c8\ucf13", "6800": "\uc2a4\ub178\uc6b0\ud038", "6801": "\uacbd\uae30 \uc591\ud3c9\uad70 \uc5f0\uc548\uae38 32-1", "6802": "20\ub144", "6803": "\uac08\uc0c9\uba39\ubb3c\ubc84\uc12f", "6804": "empty", "6805": "empty", "6806": "\uacbd\uae30\ub3c4 \uc548\uc0b0\uc2dc", "6807": "1,110\uc5b5", "6808": "\ud559\ubd80\ubaa8 \uc9c4\ub85c\uad50\uc721 \uc544\uce74\ub370\ubbf8", "6809": "\uc0dd\uac15", "6810": "empty", "6811": "empty", "6812": "\uc591\ud3c9\uad70, \uc21c\ucc9c\uc2dc", "6813": "empty", "6814": "empty", "6815": "empty", "6816": "empty", "6817": "\uc624 \ucf00\uc2a4\ud2b8\ub77c \ubd09\uc0ac \ud65c\ub3d9, \ud569\uc8fc \uae30\ud68c \ubc0f \uc7a5\uc18c \uc9c0\uc6d0", "6818": "50%", "6819": "\ub18d\ud611\uc911\uc559\ud68c", "6820": "\uc6d0\uc8fc\ub300\u00b7\uad00\ub3d9\ub300 \ub300\ud559\uc0dd \uba58\ud1a0, \uac15\uc0ac \uc9c0\uc6d0 \uc9c0\ubc29\uc790\uce58\ub2e8\uccb4", "6821": "empty", "6822": "empty", "6823": "empty", "6824": "\uac04\uc811 \uc9c0\uc6d0 \uc0dd\uacc4\uc9c0\uc6d0\ube44 \ubcf4\uc870 100 \ub18d\uac00\ub2e8\uc704 \ud53c\ud574\uc728 50% \uc774\uc0c1", "6825": "empty", "6826": "16\uac1c \uc9c0\uc5ed\uc5d0\uc11c \uafb8\ub7ec\ubbf8 \uacf5\ub3d9\uccb4\ub97c \uc6b4\uc601 -\uc18c\ube44\uc790\ud68c\uc6d0 : 1,607\uba85", "6827": "5", "6828": "empty", "6829": "\uc5f0\uac04 1,000\ubc31\ub9cc\uc6d0\uc758 \uad00\uc0c1\uc5b4\uc0ac\ub8cc \uc218\ucd9c \ubc0f \uc218\uc785\ub300\uccb4\ud6a8\uacfc", "6830": "empty", "6831": "\uacf5\uacf5\uc2dc\uc124\uc9c0\uad6c", "6832": "\uc778\ud558\ub300\ud559\uad50 \ud574\uc591\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc18c", "6833": "\uc2e0\ud3c9\uc591\uc870\uc7a5", "6834": "empty", "6835": "\uc548\ub3d9\uc2dc \ud48d\uc0b0\uc74d \uc0b0\uc5c5\ub2e8\uc9c0 6\uae38 6", "6836": "empty", "6837": "empty", "6838": "20\uac1c", "6839": "\uc9c1\uc811 \uc9c0\uc6d0 \ub18d\uc5b4\uc5c5\uc6a9 \uc2dc\uc124\ubcf5\uad6c \ubcf4\uc870 35, \uc735\uc790 55", "6840": "\uc544\uc774\ube44 \uc601\ub18d\uc870\ud569\ubc95\uc778", "6841": "empty", "6842": "\ubcf4\uc740\uad70", "6843": "\uc911\uc559\ubb34\ub300", "6844": "empty", "6845": "\uc591\ub3c8, \ubc30 40", "6846": "\uad6c\uc808\ucd08\ucc28", "6847": "\uc18d\ucd08\uc9c0\uc18c \uac80\uc5ed\ud300", "6848": "\ubb34\ub989\ub3c4\uc6d0\ub9c8\uc744 \uc270\ub2e4\ub9ac nan \uc11c\uadc0\ud3ec \ub3d9\uad11\ubb38\ud654\ub9c8\uc744", "6849": "empty", "6850": "empty", "6851": "\ud3c9\uc548\ub3c4 \uac00\uc9c0\uc9e0\uc9c0 4 \ud3c9\uc548\ub3c4", "6852": "\uce5c\ud658\uacbd \ub18d\uc0b0\ubb3c, \uce5c\ud658\uacbd\ub18d\uc0b0\ubb3c\ub85c \ub9cc\ub4e0 \uac00\uacf5\uc2dd\ud488 \ubc0f \uac74\uac15\uc2dd\ud488", "6853": "\ub0a8\ubd80\uc9c0\ubc29", "6854": "empty", "6855": "empty", "6856": "empty", "6857": "\uc5fc\uc18c", "6858": "\ud55c\uad6d\ud45c\uc900\ud611\ud68c", "6859": "9.29", "6860": "\uc0dd\uc0b0\uae30\ubc18, \ub9c8\ucf00\ud305, \uc218\uc775\ucc3d\ucd9c", "6861": "\uad6d\ub9bd\uc885\uc790\uc6d0", "6862": "\uc548\ub3d9\uac04\uace0\ub4f1\uc5b4", "6863": "\ud568\uc815 1\ucc99", "6864": "empty", "6865": "empty", "6866": "empty", "6867": "1. \uc0c1\ud1a01\ud638 1.\uc911\ub7c9 : 0.8\uc774\uc0c1", "6868": "3\uc6d4", "6869": "empty", "6870": "empty", "6871": "\ucd95\uc0b0\uacfc\ud559\uc6d0", "6872": "\uc870\uc9c1\uacf5\ud559\uce58\ub8cc\uc81c", "6873": "\uadf8\ub9b0\ubd80\uc0b0\uc778\ubca0\uc2a4\ud2b8 45% 90\uc5b5\uc6d0", "6874": "empty", "6875": "\uac15\uc88c\uc218\uac15 \ucd5c\uc2e0 \ud56d\uacf5\uc0b0\uc5c5 \ud2b8\ub80c\ub4dc \ub530\ub77c\uc7a1\uae30 5", "6876": "\ub300\ud1b5\ub839\uc0c1", "6877": "228 ha", "6878": "1\ub144", "6879": "\ucc9c\uc548\uc5f0\uc554\ub300\ud559", "6880": "30\ubd84", "6881": "empty", "6882": "empty", "6883": "\uacbd\uc0c1\ubd81\ub3c4 \uc6b8\uc9c4\uad70 \uae30\uc131\uba74 \ud574\uc548\uc0ac\uad6c", "6884": "empty", "6885": "empty", "6886": "10\uc810", "6887": "20%)\uc744 5~10%\ubc94\uc704\ub0b4\ub85c \uc644\ud654", "6888": "500\ub9cc\uc6d0", "6889": "\ub18d\uc57d\uc758 \uc778\ud130\ub137 \ud310\ub9e4, \uccad\uc18c\ub144 \ud310\ub9e4\uc5d0 \ub300\ud55c \uaddc\uc81c\uac00 \uc5c6\uc74c", "6890": "empty", "6891": "empty", "6892": "empty", "6893": "\ucda9\ub0a8 \ud0dc\uc548 (\ubaa8\ud56d\uad6d\uac00\uc5b4\ud56d \uc77c\uc6d0) \ud0dc\uc548\uad70\uccad", "6894": "\uba54\ub69c\uae30 \uc7a1\uae30", "6895": "\uc758\ub8cc\uc13c\ud130", "6896": "empty", "6897": "\ucc3d\uc6d0\uc2dc \uc9c4\ub3d9\uba74 \uad11\uc554\ud56d", "6898": "\ubd80\ub140\ud68c\uc9c0\uc6d0", "6899": "\uc815\uc120\uad70", "6900": "empty", "6901": "200\uac1c", "6902": "empty", "6903": "\uac10\uc790, \uace0\uad6c\ub9c8", "6904": "- \ud0dc\ud48d\u2027\uc6b0\ubc15\uc73c\ub85c \ub099\uacfc\ud53c\ud574 \ubc1c\uc0dd\uc2dc \uc218\ud655\ub7c9\uc758 \uac10\uc18c\ud53c\ud574 \ubcf4\uc0c1", "6905": "empty", "6906": "empty", "6907": "empty", "6908": "empty", "6909": "\ub2ec\ub9c8\uc9c0\ub9c8\uc744", "6910": "\uc6c0\uc9c1\uc774\ub294 \ub3d9\ubb3c\uc6d0", "6911": "empty", "6912": "\uc8fc\ubbfc\uc18c\ube44\uc790\uc0dd\ud65c\ud611\ub3d9\uc870\ud569", "6913": "\uad8c\uc120\uace0\ub4f1\ud559\uad50", "6914": "empty", "6915": "\uae30\uc7a5\uad70 \uc77c\uad11\ud574\uc218\uc695\uc7a5 \uc77c\uc6d0", "6916": "\ud3ec\ud68d\uae08\uc9c0 \uc57c\uc0dd\ub3d9\ubb3c", "6917": "\ub18d\ub9bc\uc218\uc0b0\uc2dd\ud488\ubd80", "6918": "empty", "6919": "10", "6920": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0", "6921": "empty", "6922": "\ub18d\uc0b0\ubb3c\uc548\uc804\uc131\uc870\uc0ac\uacb0\uacfc", "6923": "\uc81c\uc8fc\uc2dc \uc11c\uadc0\ud3ec\uc2dc \ub300\uc815\uc74d \ubb34\ub989\ub9ac \uc885\uc5c5\uc6d0 7\uba85", "6924": "325\ucc9c\uc6d0 3\uc5b5\uc6d0", "6925": "empty", "6926": "16.3\ucc9cha 207\ucc9c\ud1a4", "6927": "\ubd80\uc720\uc0dd\ubb3c \ubbf8\uc0dd\ubb3c \ud574\uc5ed \ucd1d\uade0\uc218", "6928": "empty", "6929": "empty", "6930": "\ubaa9\ud3ec\uccad", "6931": "\uc548\ub3d9 \uac04\uace0\ub4f1\uc5b4", "6932": "\uc5ec\uac1d \ud3b8\uc758\uc2dc\uc124", "6933": "\uae00\ub85c\ubc8c \uc2dc\uc7a5\ub300\uc751 \uc218\ucd9c\uc0c1\ud488\ud654", "6934": "empty", "6935": "empty", "6936": "\uac10\uc790\u318d\uac10\uc790\ubd84", "6937": "empty", "6938": "15\uac1c\uc18c", "6939": "9,300\ud1a4", "6940": "empty", "6941": "\uc5b4\uc5c5\uc815\ucc45\uacfc", "6942": "\uacbd\ub0a8 \ud488\uc885\uba85 \ucd94\uccad \uc624\ub300 \ucd94\uccad \uc8fc\ub0a8", "6943": "empty", "6944": "\uc804\ud654 \ub9e4\uc6d4 \ubaa8\ub2c8\ud130 \uc8fc\uc0b0\uc9c0 \ub18d\uc5c5\uae30\uc220\uc13c\ud130, \ub18d\ud611 \ub4f1", "6945": "empty", "6946": "\uc0c8\uc6b0\uc0b4", "6947": "\uc0b0\ub9bc\uccad", "6948": "20\ub9cc\uba85", "6949": "30\uba85 \ub0b4\uc678", "6950": "900\uba85", "6951": "empty", "6952": "20\uc810", "6953": "\uc2e4\ud6a8\uc2b5\ub3c4 45%\uc774\ud558\uac00 2\uc77c\uc774\uc0c1 \uacc4\uc18d, \ucd08\uc18d 7m \uc774\uc0c1", "6954": "300\ub9cc\uc6d0", "6955": "empty", "6956": "empty", "6957": "\uc5f0\uad6c\uac1c\ubc1c", "6958": "empty", "6959": "54\uba85", "6960": "\uc6d4\ub4dc\ucef5\uacf5\uc6d0", "6961": "5\ub144 \uc774\ud558 \uc9d5\uc5ed, 5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "6962": "empty", "6963": "1 - 7\ubc31\ub9cc\uc6d0", "6964": "3\uac1c \uae30\uad00", "6965": "1,434\uc5b5\uc6d0", "6966": "empty", "6967": "3.23", "6968": "empty", "6969": "\uc6b8\uc0b0\uad11\uc5ed\uc2dc \ub0a8\uad6c \ubb34\uac70\uace0\ub4f1\ud559\uad50", "6970": "21\uc77c\u223c30\uc77c", "6971": "2050 \ud0c4\uc18c\uc911\ub9bd\uc744 \uc704\ud55c \uae30\ud6c4\ubc95\uc548 \uc81c\uc548", "6972": "empty", "6973": "empty", "6974": "empty", "6975": "\uae08\uc0b0, \uad6c\ub840, \uc81c\uc8fc", "6976": "empty", "6977": "empty", "6978": "empty", "6979": "empty", "6980": "empty", "6981": "empty", "6982": "\uc784\uc5c5\uc9c4\ud765\uc6d0", "6983": "3.23", "6984": "\uc911\ucd94\uc2e0\uacbd\uacc4 \uc9c8\ud658", "6985": "\ud56d\uc0b0\ud654, \ud608\ub2f9\uac15\ud558, \ucf5c\ub808\uc2a4\ud14c\ub864 \uc800\ud558", "6986": "empty", "6987": "900\ub9cc\uc6d0", "6988": "empty", "6989": "4\ud488\ubaa9 \ubc24, \ud638\ub450, \ub300\ucd94, \ub5ab\uc740\uac10", "6990": "349.6\ub9cc \uba85", "6991": "empty", "6992": "empty", "6993": "8130 2025 \uc0b0\ub9bc\ud56d\uacf5\uacfc \u2464 \ud5ec\uae30 \uc6b4\ud56d \ubaa8\ub2c8\ud130\ub9c1 \uccb4\uacc4 \uad6c\ucd95", "6994": "\uc0b0\ub9bc\uc720\uc804\uc790\uc6d0\ubcf4\ud638\uad6c\uc5ed", "6995": "14mm \uc774\uc0c1", "6996": "empty", "6997": "\ubd80\uc0b0\uad11\uc5ed\uc2dc", "6998": "16", "6999": "\ubd84\ubcc0-\uad6c\uac15 \uacbd\ub85c \uac10\uc5fc \uc7a0\ubcf5\uae30 \u25ab 10\u223c50\uc2dc\uac04", "7000": "empty", "7001": "empty", "7002": "empty", "7003": "empty", "7004": "empty", "7005": "12. 31", "7006": "\uc548\uc804\uc131\uc720\ud6a8\uc131 \u00b7 \ub3d9\ubb3c\uc2e4\ud5d8 \u00b7 \ub3d9\ubb3c\uc2e4\ud5d8\uc73c\ub85c \ud55c\uacc4, \uc784\uc0c1\uc5f0\uad6c \uc911\uc694", "7007": "26.6\uba85", "7008": "33.2%", "7009": "1\ubc31\ub9cc\uc6d0", "7010": "14.1% 13.2%", "7011": "\uc804\ub0a8\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "7012": "empty", "7013": "empty", "7014": "\uc720\ud29c\ube0c \ub4f1 SNS", "7015": "empty", "7016": "empty", "7017": "16\uac1c\uc18c, \uc0ac\uc720 2\uac1c\uc18c", "7018": "empty", "7019": "empty", "7020": "24\ucc99 24\ucc99", "7021": "\uac1c\ub9c9\uacf5\uc5f0", "7022": "empty", "7023": "empty", "7024": "empty", "7025": "empty", "7026": "55\uc138 \uc774\ud558\uc778 \uc790\ub85c\uc11c \uc5b4\uc5c5\uc778\ud6c4\uacc4\uc790 \uc120\uc815 \ud6c4 3\ub144 \uc774\uc0c1 \uacbd\uc601\ud55c \uc790", "7027": "empty", "7028": "empty", "7029": "\uc0b0\ubd88 \ub300\ud53c \uad8c\uace0 \uc2dc \ud574\ub2f9\uc790\uce58\ub2e8\uccb4", "7030": "41\ud1a4", "7031": "20\uc810", "7032": "empty", "7033": "\ucda9\uccad\ub0a8\ub3c4 \ubd80\uc5ec\uad70", "7034": "\uacf6\uc790\uc648", "7035": "\uc81c\uc8fc\ub300\ud559\uad50 \uc544\uc5f4\ub300 \uc6d0\uc608\uc0ac\uc5c5\uc5f0\uad6c\uc18c", "7036": "100", "7037": "7\ud488\ubaa9", "7038": "empty", "7039": "\uad70\ubd80\ub300 \uc0ac\uaca9\ud6c8\ub828 \uc790\uc81c \ub610\ub294 \uc911\uc9c0", "7040": "empty", "7041": "1\ub144 \uc774\uc0c1 10\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed", "7042": "empty", "7043": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "7044": "empty", "7045": "empty", "7046": "empty", "7047": "\uc11c\uc6b8 \ub9c8\ub9ac\ub098\ud074\ub7fd", "7048": "2\uc2dc\uac04 15\uba85\uc529 2\ud300", "7049": "100\ub9c8\ub9ac \uc774\uc0c1/1ha", "7050": "empty", "7051": "8\uba85", "7052": "empty", "7053": "\uad6d\ubbfc\uc774 \uc8fc\uc778\uc774 \ub418\ub294 \ub3c4\uc2dc\ub179\ud654\uc6b4\ub3d9 \ud655\ub300 \ucc38\uc5ec\uad6d\ubbfc 41\ub9cc\uba85", "7054": "\ud55c\uad6d\ub4f1\uc0b0\u00b7\ud2b8\ub808\ud0b9\uc9c0\uc6d0\uc13c\ud0c0", "7055": "empty", "7056": "empty", "7057": "empty", "7058": "\uac15\uc6d0 \uac15\ub989 \uc655\uc0b0\uba74 \ub300\uae30\ub9ac 3,000\uc5ec\uae30", "7059": "\ud604\uc7a5\uc2ec\uc0ac", "7060": "empty", "7061": "\uc790\uc5f0\ubcf5\uc6d0\uc9c0", "7062": "\ub300\ud615\uc0b0\ubd88 \uc8fc\uc758\ubcf4", "7063": "36\uc2dc\uac04 \uc774\uc0c1 134\uc2dc\uac04 \uc774\uc0c1 170\uc2dc\uac04 \uc774\uc0c1 \ud604\ud589\uacfc \ub3d9\uc77c", "7064": "\ub2e8\uae30\uc18c\ub4dd", "7065": "157\u339e", "7066": "17\uac74", "7067": "\uac00\uc871\uad00\uacc4 \ud615\uc131 \ud504\ub85c\uadf8\ub7a8", "7068": "10m", "7069": "empty", "7070": "empty", "7071": "empty", "7072": "empty", "7073": "empty", "7074": "\ub124\uc774\ucc98\uae00\ub8e8\ud14d\u321c", "7075": "15 \ub098\ubb34\uace4\ucda9\ub9cc\ub4e4\uae30", "7076": "5\ucc9c\ub9cc\uc6d0 \uc774\uc0c1", "7077": "empty", "7078": "30\uba85", "7079": "\uc0b0\ub9bc\ubb38\ud654\uac15\uc88c", "7080": "empty", "7081": "empty", "7082": "2", "7083": "empty", "7084": "500\ub9cc\uc6d0", "7085": "\uc232\ud0d0\ubc29", "7086": "\uc0dd\ud0dc \uac10\uc218\uc131 \uc99d\uc9c4\ud558\uae30", "7087": "\uc18c\uc678\uc2dc\uc124 \ub179\uc0c9\uacf5\uac04 \uc870\uc131", "7088": "empty", "7089": "\uc232\uc758 \uac00\uc871", "7090": "2010", "7091": "\uc2dc\u00b7\ub3c4\uc9c0\uc0ac", "7092": "empty", "7093": "empty", "7094": "empty", "7095": "\uc5f0\uacb0 \uad6c\uac04", "7096": "empty", "7097": "\uc548\uc804\uc758\uc2dd \uc81c\uace0, \ud56d\ub9cc \uc548\uc804\ubb38\ud654 \ud655\uc0b0", "7098": "10\uac1c\uc0ac", "7099": "9\uc6d4", "7100": "\uc7a0\ubcf5\uae30 \u25ab\ud3c9\uade0 8-10\uc77c", "7101": "\uc5ec\uc758\ub3c4 \ud55c\uac15 \uc2dc\ubbfc\uacf5\uc6d0 \ub108\ub978\ub4e4\ud310 \uad11\uc7a5 (\uc601\ub4f1\ud3ec\uad6c) \u300c\uad6d\ubc29\uc77c\ubcf4 \uc804\uc6b0\ub9c8\ub77c\ud1a4", "7102": "empty", "7103": "empty", "7104": "empty", "7105": "40\ubd84", "7106": "empty", "7107": "10 \uac74\uac15\uc0dd\ud65c\uc2e4\ucc9c\uc728", "7108": "empty", "7109": "\uc778\ud50c\ub8e8\uc5d4\uc790 \ub9cc 50\uc138 \uc774\uc0c1 \uc131\uc778 \ud3d0\ub834\uad6c\uade0", "7110": "\uc778\ud558\ub300\ud559\uad50\ubcd1\uc6d0", "7111": "empty", "7112": "\ubd80\uc0b0\uc5ed KTX \ud68c\uc758\uc2e4 512\ud638 \ud68c\uc758\uc2e4", "7113": "empty", "7114": "empty", "7115": "empty", "7116": "empty", "7117": "1% \ubbf8\ub9cc", "7118": "4", "7119": "4\uc8fc \uac04\uaca9\uc73c\ub85c 2\ud68c \uc811\uc885", "7120": "\ud3c9\uade0 5-14\uc77c", "7121": "empty", "7122": "10\u223c50\uc2dc\uac04", "7123": "empty", "7124": "1% \ub0b4\uc678", "7125": "empty", "7126": "empty", "7127": "empty", "7128": "24\uac1c \ud300 \uc774\uc0c1", "7129": "\ub18d\uc218\uc0b0\ubb3c \uc6d0\uc0b0\uc9c0\ud45c\uc2dc\ubc95", "7130": "empty", "7131": "1", "7132": "30\uc810", "7133": "empty", "7134": "1\uac1c\uc6d4\uac04", "7135": "281 12,967\ud638 50\ub9cc\uc6d0", "7136": "empty", "7137": "empty", "7138": "empty", "7139": "empty", "7140": "empty", "7141": "12,150\uc6d0 14,230\uc6d0", "7142": "empty", "7143": "\ub9cc 75\uc138 \uc774\uc0c1", "7144": "\ud504\ub791\uc2a4, \ub3c5\uc77c, \ud3ec\ub974\ud22c\uac08, \uc774\ud0c8\ub9ac\uc544", "7145": "58.5%", "7146": "12\uc2dc\uac04\u223c72\uc2dc\uac04 \u25ab 12\uc2dc\uac04\u223c72\uc2dc\uac04", "7147": "\uc6d0\ucda9", "7148": "empty", "7149": "empty", "7150": "7\uc77c", "7151": "\ud3ec\ub974\ud22c\uac08", "7152": "\uc5ec\ud589 \uc804 \uc900\ube44\uc0ac\ud56d", "7153": "300\ub9cc\uc6d0", "7154": "\ud0dc\uad6d", "7155": "\ubd80\uc0b0\ud56d \uac10\ub9cc\ubd80\ub450", "7156": "20\uc8fc", "7157": "\uc2e4\ub0b4", "7158": "120\uba85", "7159": "empty", "7160": "100 200 300", "7161": "empty", "7162": "empty", "7163": "\ub3d9\ud574\uccad", "7164": "empty", "7165": "empty", "7166": "\uacbd\uc0c1\ub300\ud559\uad50", "7167": "15\uc5b5\uc6d0", "7168": "empty", "7169": "\uc790\ud3ec \ub3d9\ubb3c", "7170": "empty", "7171": "empty", "7172": "empty", "7173": "empty", "7174": "\uc0b0\ub9bc\uc870\ud569\uc911\uc559\ud68c", "7175": "\uc0dd\ud0dc\uc870\uacbd\ub514\uc790\uc778\ud559\uacfc", "7176": "empty", "7177": "\uc0b0\ub9bc\uc720\uc804\uc790\uc6d0\ubcf4\ud638\uad6c\uc5ed", "7178": "24\uc2dc\uac04 \uadfc\ubb34 2\uba85", "7179": "empty", "7180": "\uacbd\uae30\ub3c4 \ud30c\uc8fc\uc2dc", "7181": "300\ub9cc \uc6d0", "7182": "1\uc704 \ud55c\uad6d(77.0) \ud55c\uad6d(5.2) 2\uc704", "7183": "2\ubc153\uc77c 6\ud300", "7184": "\uc778\ucc9c, \uc0c1\ud574 \uc784\uc2dc\uc815\ubd80\uccad\uc0ac, \uc724\ubd09\uae38\uc758\uc0ac\uae30\ub150\uad00, \ub8e8\uc270\uacf5\uc6d0 \uc720\uc801\uc9c0", "7185": "\uacfc\uae30\ubd80 \uc8fc\uad00 \u2018\uad6d\uac00\uc5f0\uad6c\uac1c\ubc1c\uc0ac\uc5c5 \uc6b0\uc218\uc131\uacfc 100\uc120", "7186": "empty", "7187": "empty", "7188": "536\ucc9c\uba85", "7189": "12,800\ub9cc", "7190": "6\ud300", "7191": "\uad6d\ub9bd\uc218\uc0b0\uacfc\ud559\uc6d0\uc7a5", "7192": "\uadfc\uc721\uc758 \uacbd\ub828\uacfc \ud1b5\uc99d\uc744 \uc218\ubc18\ud569\ub2c8\ub2e4. \ub300\ucc98\ubc29\ubc95", "7193": "empty", "7194": "empty", "7195": "empty", "7196": "40\ub3c4", "7197": "empty", "7198": "empty", "7199": "\ubb38\uc81c\uc810\uc774 \uc5c6\ub294 \ucd5c\uc0c1\uc758 \uc0c1\ud0dc", "7200": "\ucf5c\ub86c\ube44\uc544, \uc218\ub9ac\ub0a8, \uac00\uc774\uc544\ub098 \uc5ec\ud589\ub825 \uc788\ub294 \uc601\uad6d\uc778 3\uba85", "7201": "17.4", "7202": "empty", "7203": "\uc778\ucc9c(\uac15\ud654\uad70) \uc704\ud5d8\uc9c0\uc5ed(5) \uacbd\uae30\ub3c4", "7204": "\uc804\ub77c\ub0a8\ub3c4 \uc11c\ubd80", "7205": "\uac00\ucd95 \ub610\ub294 \uc57c\uc0dd\ub3d9\ubb3c\uc5d0\uc11c \ubc1c\uacac", "7206": "2\ub2e8\uacc4", "7207": "\ub18d\uc5c5\uc5f0\uc218\uc6d0 \ub300\uac15\ub2f9", "7208": "\uc5f0\ud558\uadfc \ub9c8\ube44, \ubb3c\uc5d0 \ub300\ud55c \uacf5\ud3ec, \ud638\ud761\uadfc \uacbd\ub828, \uc804\uc2e0\uacbd\ub828, \uc0ac\ub9dd", "7209": "\uac74\uac15\ubcf4\ud5d8\uc2ec\uc0ac\ud3c9\uac00\uc6d0", "7210": "empty", "7211": "FAO \uc0ac\ubb34\uad6d\uacfc \ud574\uc218\ubd80 \ub300\ud45c", "7212": "1\ub4f1\uae09", "7213": "empty", "7214": "empty", "7215": "\ud55c\uaca8\ub808\uc2e0\ubb38", "7216": "\uc77c\ubc18 \uc2e4\ud5d8\uc2e4 \uccad\uc815 \uc791\uc5c5\ub300", "7217": "\ubc14\ub2e4\uc758 \ub0a0 \uae30\ub150 \ubb38\ud654\ud589\uc0ac", "7218": "\ubd80\uc0b0 \uc601\ub3c4", "7219": "empty", "7220": "\uc804\ub0a8 \ud568\ud3c9", "7221": "empty", "7222": "\uc0ac\ub791\uba3c\uc9c0, proud mary", "7223": "empty", "7224": "\uc5b4\ucd0c\uccb4\ud5d8\ub9c8\uc744", "7225": "empty", "7226": "\uc740\ud3c9\uad6c", "7227": "\ud574\uc591\uc2ec\uce35\uc218\ubc95\u300d\uc5d0 \ub530\ub978 \ud574\uc591\uc2ec\uce35\uc218 \ucde8\uc218\ud574\uc5ed\uc758 \uc9c0\uc815", "7228": "3\ub144", "7229": "empty", "7230": "3.32\uff5e10.52\uba85", "7231": "empty", "7232": "empty", "7233": "empty", "7234": "\uc2e0\uc548\uad70 \uc120\ub3c4", "7235": "empty", "7236": "29 8", "7237": "empty", "7238": "1. A (\uc6b0\uc218) \ubb38\uc81c\uc810\uc774 \uc5c6\ub294 \ucd5c\uc0c1\uc758 \uc0c1\ud0dc", "7239": "\uad6d\ubc29\u00b7\uad70\uc0ac\uc2dc\uc124", "7240": "empty", "7241": "empty", "7242": "UCC \uc5f0\uc548\uc9d1\uc911\ud0d0\uad6c\uc0dd\ud65c", "7243": "empty", "7244": "empty", "7245": "\ucd1d \uc0ac\uc5c5\ube44\uc758 80%\uc774\ub0b4", "7246": "6\ucc28 \uc6d4\ub3d9\uc5f0\uad6c\ub300 \ucd9c\uad6d", "7247": "empty", "7248": "empty", "7249": "\uad6d\ubbfc\ub300\ud559\uad50 ***\uad50\uc218", "7250": "empty", "7251": "empty", "7252": "\uc601\uc138\uc5b4\uac00 - 10\ud1a4 \ubbf8\ub9cc\uc758 \uc5b4\uc120\uc744 \ubcf4\uc720\ud55c \uc5c5\uccb4", "7253": "\ucc9c\uc218\ub9cc \ud574\uc5ed", "7254": "\ub274\uc9c8\ub79c\ub4dc, \ub9c8\uc15c\uc81c\ub3c4, \ub9c8\uc774\ud06c\ub85c\ub124\uc2dc\uc544, \uc194\ub85c\ubaac\uc81c\ub3c4 \ub4f1 \uc544\ud504\ub9ac\uce74", "7255": "empty", "7256": "empty", "7257": "300\ub9cc\uc6d0", "7258": "empty", "7259": "40\uc5b5 \uc6d0", "7260": "37\ub144", "7261": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80 \uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "7262": "6.26", "7263": "empty", "7264": "1\ub2e8\uacc4 (\uad00\uc2ec) \uadc0\uc5b4\uadc0\ucd0c \uc885\ud569\uc13c\ud130\uc6b4\uc601", "7265": "\uc0ac\ud558\uad6c", "7266": "empty", "7267": "\uc81c\uc8fcICC \ud55c\ub77c\ud640B", "7268": "empty", "7269": "\ub9cc 55\uc138 \uc774\ud558\uc774\uace0 \uc5b4\uc5c5\uc778\ud6c4\uacc4\uc790 \uc120\uc815 \ud6c4 3\ub144 \uc774\uc0c1 \uacbd\uacfc\ud55c \uc790", "7270": "\uac15\uc6d0 \uc0bc\ucc99\uc2dc", "7271": "\ud604\uc7a5\ud0d0\uc0ac", "7272": "\uacbd\uae30 \uc548\uc0b0\uc2dc", "7273": "\uace0\uc720\ud55c \uc218\ud615\uc744 \ubcf4\uc778\ub2e4", "7274": "SPS\ud611\uc815 \uc81c2.3\uc870", "7275": "\uaf43\uc9c0\ud574\uc218\uc695\uc7a5", "7276": "\uc81c\uc8fcICC \ud55c\ub77c\ud640B", "7277": "\ud558\ub3c4\uc5b4\ucd0c\uccb4\ud5d8\ub9c8\uc744", "7278": "empty", "7279": "\ud574\uc800\uc0dd\ud0dc \ud0d0\uc0ac\ud558\uae30", "7280": "empty", "7281": "\ud55c\uad6d\ud574\uc591\uacf5\ud559\ud68c, \ud55c\uad6d\ud574\uc548\u2027\ud574\uc591\uacf5\ud559\ud68c", "7282": "empty", "7283": "6\uc5b5 3,700\ub9cc \uba85", "7284": "empty", "7285": "empty", "7286": "empty", "7287": "1\ud68c", "7288": "\uae30\ud6c4\ubcc0\ud654 \ub300\uc751 \uc2dc\uc2a4\ud15c \uac15\ud654", "7289": "empty", "7290": "\ub3d9\ubd80\uc9c0\ubc29\uc0b0\ub9bc\uccad", "7291": "empty", "7292": "70%", "7293": "\ube0c\ub77c\uc9c8\uad11\ubb3c\uc790\uc6d0\uacf5\uc0ac", "7294": "empty", "7295": "\uccad\uc790\uace0\ub465", "7296": "\uc815\ubcf4\ud654 \ud589\uc815\uc9c0\uc6d0", "7297": "empty", "7298": "\ud574\uc591\uc218\uc0b0\ubd80 \ub300\ud68c\uc758\uc2e4", "7299": "empty", "7300": "empty", "7301": "100\ub9cc\uc6d0", "7302": "\ud64d\ucc9c\u00b7\uc778\uc81c \uc591\uc591 \ub4f1 100", "7303": "empty", "7304": "\uc2ac\ub85c\uc2dc\ud2f0 \uccad\uc0b0\ub3c4 \ubc14\ub2e4\uc5ec\ud589", "7305": "\uc804\uc0b0 \ubcf8\uccad 2 \ub300\uc804", "7306": "empty", "7307": "empty", "7308": "\ubb3c\ub958\uc804\uc2dc\uad00", "7309": "empty", "7310": "\uc9c0\ubc29\uad00\ub9ac\uc5f0\uc548\ud56d", "7311": "\uc0b0\ub9bc\uc11c\ube44\uc2a4\uc99d\uc9c4 \ud734\uc591\ub9bc\ucf54\ub514\ub124\uc774\ud130", "7312": "\uc0ac\ubb34\uad6d", "7313": "empty", "7314": "empty", "7315": "empty", "7316": "empty", "7317": "200\ub9cc\uc6d0", "7318": "\uc804\ubd81 \uc804\ub0a8 \uacbd\ubd81 \uacbd\ub0a8 \uacc4 2 6", "7319": "empty", "7320": "0.9km", "7321": "empty", "7322": "\uc784\uc5c5\uc6a9\uc0b0\uc9c0", "7323": "empty", "7324": "\ud30c\ubd80\uce68\uc8fc", "7325": "\ub300\uc804\uad11\uc5ed\uc2dc", "7326": "\uac74\uac15\uae30\ub2a5\uc2dd\ud488 \ud574\uc591\ubc14\uc774\uc624 \ud654\ud559", "7327": "\uc591\uc591 \uc1a1\uc774", "7328": "\ud55c\uad6d\uc6d0\uc591\uc0b0\uc5c5\ud611\ud68c", "7329": "empty", "7330": "empty", "7331": "empty", "7332": "\uae40\ud3ec\uacf5\ud56d", "7333": "\uac00\ub355\ub3c4\ub4f1\ub300", "7334": "40\uba85", "7335": "empty", "7336": "empty", "7337": "empty", "7338": "1\uace1 \ud2b8\ub85c\ud53c, \uc0c1\uc7a5 \ubc0f \uc0c1\uae08 400\ub9cc\uc6d0", "7339": "\uc815\ud604\ud0dd", "7340": "10 2017. 3. 7 \ubd80\uc0b0 \uc218\uc0b0\uc790\uc6d0\uc5f0\uad6c\uc18c", "7341": "\uac10\ucc99\uc758\uc0ac \uac10\ucc99\uc758\uc0ac", "7342": "empty", "7343": "empty", "7344": "\uc870\uc9c1\uc6b4\uc601 \uc1c4\uc2e0", "7345": "empty", "7346": "empty", "7347": "empty", "7348": "empty", "7349": "\uc778\ucc9c\ud56d \uc81c5\ubd80\ub450 \ub300\ud1b5\ub839 \uc81c19\ud68c", "7350": "\ud611\ub825\uc704\uc6d0\ud68c", "7351": "empty", "7352": "\u321c\ud574\uc11c\ubb3c\uc0b0 (\ud574\ubbf8\uc560\ucc2c) \uc804\ubcf5\uc7a5", "7353": "\uc804\ub0a8 \uc601\uc554", "7354": "empty", "7355": "\ub09c\uc9d5\ud56d\uadf8\ub8f9 \ubd80\ucd1d\uc7ac", "7356": "empty", "7357": "\ubc24\ub098\ubb34, \ub290\ud2f0\ub098\ubb34, \ubc9a\ub098\ubb34, \ub2e8\ud48d\ub098\ubb34, \ub300\ucd94\ub098\ubb34, \ud45c\uace0", "7358": "empty", "7359": "\ubc14\ub2e4\uc758 \ud558\ub298\uc744 \uac77\ub2e4 29", "7360": "\uc0c1\uae0815\ubc31\ub9cc\uc6d0", "7361": "\uc804\ubb38\ub300\uc878 \uc774\uc0c1 \ud559\ub825\uc81c\ud55c \uc5c6\uc74c \uc804\ubb38\ub300\uc878 \uc774\uc0c1 \uad50\uc721\uc778\uc6d0 120\uba85", "7362": "empty", "7363": "empty", "7364": "\uae30\uc9c0\uc6b4\uc601", "7365": "13:00 \uff5e13:30", "7366": "\ucda9\ub0a8 \uc11c\ucc9c\uad70", "7367": "30\uc810", "7368": "empty", "7369": "300\ub9cc\uc6d0", "7370": "empty", "7371": "empty", "7372": "empty", "7373": "\uac1c\uc778 \uc18c\ub4dd \uc99d\ub300", "7374": "2\uba85", "7375": "\uc120\ubc15 \uad6c\uc870\u00b7\uc124\ube44 \uae30\uc900", "7376": "empty", "7377": "2\uff5e6\uc77c", "7378": "1\uff5e4\uc77c", "7379": "empty", "7380": "800\ub9cc\uc6d0", "7381": "500\ub9cc\uc6d0", "7382": "\uacbd\uc0c1\ub0a8\ub3c4 \uc218\uc0b0\uae30\uc220\uc0ac\uc5c5\uc18c", "7383": "\uc0b0\uc218\uc720, \ucc9c\ub9c8", "7384": "13\uac1c", "7385": "empty", "7386": "empty", "7387": "empty", "7388": "empty", "7389": "\ubd80\uc0b0, \ud3ec\ud56d \ubcf4\ub839 \ud1b5\uc601, \ucc3d\uc6d0 \uc81c\uc8fc\uc77c\uc6d0", "7390": "\uae08\uc735\uae30\uad00", "7391": "10", "7392": "60\ubb38\ud56d", "7393": "empty", "7394": "\ud574\uc591\uc218\uc9c8", "7395": "10\uac1c\uc18c \u2027\ub0b4\uc218\uba74\uc9c0\uc5ed : 20\uac1c\uc18c", "7396": "empty", "7397": "4\ubc31\ub9cc\ud1a4", "7398": "8\uac1c(1,723) \uacfc\uc81c\ub2f9 2\ub144/5\uc5b5\uc6d0 \uc774\ub0b4", "7399": "empty", "7400": "\uc57d 828 US$/\ud1a4", "7401": "empty", "7402": "\uad00\uc81c\uad6c\uc5ed \ucd9c\uc785\uc2e0\uace0 \uc704\ubc18", "7403": "\uc720\uc2e4\uc218", "7404": "empty", "7405": "empty", "7406": "empty", "7407": "\uad70\uc0b0\ub300\ud559\uad50 \ud658\uacbd\uac74\uc124\uc5f0\uad6c\uc18c", "7408": "empty", "7409": "1970.8 \ub9c8\ub4dc\ub9ac\ub4dc EU \ub4f1 48\uac1c\uad6d", "7410": "\uc11c\uc9c4\ubc14\uc774\uc624\ud14d", "7411": "\uae00\ub85c\ub9ac\uc2a4\ud0c0\ud638", "7412": "\uadf9\uc9c0\uc5f0\uad6c\uc18c", "7413": "\ubc31\ubbf8\ub9ac\ub9c8\uc744", "7414": "60\ub9cc\uc6d0", "7415": "400g \uc774\ud558", "7416": "\ud574\uc591\uc548\uc804 \uad6d\uc81c \ucee8\ud37c\ub7f0\uc2a4", "7417": "empty", "7418": "180\uc5b5\uc6d0 140\uc5b5\uc6d0", "7419": "6\uac1c", "7420": "3\uba85", "7421": "\ud55c\uad6d\uc218\uc0b0\ubb34\uc5ed\ud611\ud68c", "7422": "empty", "7423": "\uc804\uc7a5 20m \ubbf8\ub9cc \ub610\ub294 20\ud1a4 \ubbf8\ub9cc \uba74\uc81c", "7424": "\uc9c4\ub3c4", "7425": "empty", "7426": "empty", "7427": "empty", "7428": "empty", "7429": "empty", "7430": "\ud574\uc591\uc911\uc18c\ubca4\ucc98\uc9c0\uc6d0", "7431": "172\uc5b5\uc6d0", "7432": "empty", "7433": "empty", "7434": "empty", "7435": "20\ud300", "7436": "empty", "7437": "empty", "7438": "60", "7439": "empty", "7440": "\ud654\uc131 \ubc31\ubbf8\ub9ac", "7441": "empty", "7442": "20% \ubbf8\ub9cc", "7443": "\uc0b0\ub9bc\uccad, \uc9c0\ubc29\uc790\uce58\ub2e8\uccb4", "7444": "empty", "7445": "\uc804\ub77c\ub0a8\ub3c4 \ud568\ud3c9\uad70 \ud568\ud3c9\uc74d \uc8fc\ud3ec\ub85c", "7446": "\uc5bc\uc74c\uc370\ub9e4\uc7a5", "7447": "90\uc810 \uc774\uc0c1", "7448": "10", "7449": "\uae30\uad00\ubcc4 \ubaa8\ub2c8\ud130\ub9c1 \ud574\uacbd, \uc218\uacfc\uc6d0", "7450": "empty", "7451": "\uae38\uc774 8\u339d\uc774\uc0c1", "7452": "1 \ubc31\ubbf8\ub9ac \uc18c\ub144\uc18c\ub140\uac00\uc7a5", "7453": "\uc11c\uc2e0\uba74 \ubc31\ubbf8\ub9ac \uc804\ubd81 \uad70\uc0b0\uc2dc", "7454": "\uc0bc\uc601\ubb3c\ub958 \ud55c\uad6d\ud6c4\uc9c0\uc81c\ub85d\uc2a4", "7455": "-\uc6d0\uc591\uc5b4\uc120 \ubd88\ubc95\uc870\uc5c5\ub300\ucc45 \ub9c8\ub828", "7456": "empty", "7457": "\ud574\uc591\uc0dd\ud0dc\uacfc", "7458": "\uc804\uad6d\uc73c\ub85c \ud655\ub300 o \uc774\ub3d9\ucc28\ub7c9 \uc6b4\uc1a1\uc815\uc9c0\uba85\ub839 \uc2e0\uc124", "7459": "empty", "7460": "\uacbd\uae30\ubd81\ubd80 \uc218\ud611", "7461": "\uc218\uc0b0", "7462": "200\ub9cc\uc6d0", "7463": "\uc120\uccb4 \uc804\ubcf5, \uc120\uc6d0 4\uba85 \uc2e4\uc885", "7464": "\uac15\uc6d0(\uc18d\ucd08) *\uc18d\ucd08\uc2dc \ubb38\ud654\ud68c\uad00", "7465": "empty", "7466": "\uad50\uc218", "7467": "1. \uc9c0\uc18d\uac00\ub2a5\ud55c \uc218\uc0b0\uc0dd\uba85\uc790\uc6d0 \uad00\ub9ac \uccb4\uacc4 \uad6c\ucd95", "7468": "\ud55c\uad6d\ud574\uc591\uc218\uc0b0\uc5f0\uc218\uc6d0", "7469": "\uc778\ucc9c\uccad", "7470": "empty", "7471": "\uc591\uc2dd\uc7a5", "7472": "empty", "7473": "empty", "7474": "10\uba85", "7475": "empty", "7476": "\uacc4\ub2e8\uc2dd \ucc28\ubc2d \uc804\ub0a8 \ubcf4\uc131\uad70 \ubcf4\uc131\uc74d \ubd09\uc0b0\ub9ac, \ud68c\ucc9c\uba74 \uc601\ucc9c\ub9ac", "7477": "empty", "7478": "\uc5ec\uc218\ud56d LNG \ubd80\ub450 \ubd81\ub3d9\ubc29 \uc57d 1.2\ub9c8\uc77c", "7479": "\uc77c\ubc18\uc778", "7480": "empty", "7481": "empty", "7482": "empty", "7483": "6,697\uc5b5", "7484": "empty", "7485": "76\uba85", "7486": "24\uc810 - \ucd1d\uc0c1\uae08 730\ub9cc\uc6d0", "7487": "empty", "7488": "27.\ud574\uc591\uc0b0\uc131\ud654 \uad00\ub9ac \uae30\uc220 \ud574\uc591\uc5d0\ub108\uc9c0", "7489": "\ubb38\ubb34\ub300\uc655\ud568", "7490": "empty", "7491": "empty", "7492": "\uc0b0\uc5c5\uc751\uc6a9\uae30\uc220\ubd84\uacfc\uc704\uc6d0\ud68c", "7493": "\ubb34\uc5ed\ud611\ud68c", "7494": "\uacf5\ub3d9\uc774\uc6a9\uc2dc\uc124", "7495": "\uc2b9\uc18c \uc2b9\uc18c", "7496": "empty", "7497": "\uac74\ucd95\uc7ac\ub8cc", "7498": "\uc870\uc9c0\uba54\uc774\uc2a8\ub300", "7499": "\ucd5c\uc18c 50\uc5b5 \uc774\uc0c1 \ub300\ud615\uacfc\uc81c", "7500": "empty", "7501": "CJ\uc2dc\uc2a4\ud15c\uc988", "7502": "empty", "7503": "10", "7504": "empty", "7505": "empty", "7506": "empty", "7507": "empty", "7508": "\uc804\uc790\uc815\ubcf4 \ucd5c\ud55c\uae30\uc0c1 (\uc8fc)\uba54\ub514\uc2a8", "7509": "empty", "7510": "\ud734\uc2a4\ud134 \uac00\uc2a4\ud14d", "7511": "1 \uc0c1\uc7a5 \ubc0f \uc0c1\uae08 5\ubc31\ub9cc\uc6d0", "7512": "empty", "7513": "\uc804\ub7b5\ud575\uc2ec\uc18c\uc7ac \uc790\ub9bd\ud654 \uae30\uc220\uac1c\ubc1c\uc0ac\uc5c5", "7514": "\uc758\ub8cc\uc6a9 \ucd08\uc74c\ud30c\uc9c4\ub2e8\uae30", "7515": "216\uac1c\uc0ac 238\uac1c\uc0ac 240\uac1c\uc0ac", "7516": "OECD 28\uac1c", "7517": "\uc6c5\uc9c4\ud640\ub529\uc2a4", "7518": "empty", "7519": "\uc6b0\ub8e8\uacfc\uc774(1) \uba55\uc2dc\ucf54", "7520": "1,860\uba85 \uc5f0\uad6c 95\uba85", "7521": "empty", "7522": "5\uff5e10lm/W\uc529", "7523": "\ub300\uc804", "7524": "empty", "7525": "empty", "7526": "80\uba85", "7527": "empty", "7528": "K-Global \uc2a4\ub9c8\ud2b8 \ub514\ubc14\uc774\uc2a4 \ubd84\uc57c \uacf5\ubaa8\uc804", "7529": "\ubd80\uc0b0\ud2b9\ud654\ud615 \uc218\ucd9c\ud5c8\ube0c \uad6c\ucd95", "7530": "\ub9d0\ub828", "7531": "10%\uc774\ub0b4", "7532": "empty", "7533": "empty", "7534": "\uc0b0\uc5c5\uae30\uc220\uc815\ucc45\uacfc", "7535": "empty", "7536": "\uc815\ubd80\ucd9c\uc5f0\uae08\uc758 4.00%", "7537": "empty", "7538": "empty", "7539": "2.25", "7540": "4\ub144", "7541": "\uba54\uc774\ud50c\ub8f8", "7542": "\ub098\ud504\ud0c0\uc81c\uc870\uc6a9\uc6d0\uc720", "7543": "empty", "7544": "40MW \uc774\ud558", "7545": "empty", "7546": "\uc778\uc99d\uae30\uad00 \uc694\uac74 \ubc0f \uad00\ub9ac \uac15\ud654", "7547": "empty", "7548": "10\ub144", "7549": "empty", "7550": "\ud574\uc678 \uc720\uba85\uc5c5\uccb4\uc758 \ube0c\ub79c\ub4dc\uc5d0 \ub85c\uc5f4\ud2f0\ub97c \uc8fc\uace0 \uc0ac\uc6a9\ud558\ub294 \ubc29\uc2dd", "7551": "empty", "7552": "\uacbd\ubd81 \uacbd\uc8fc", "7553": "12~19\ub144", "7554": "105 \ud488\ubaa9", "7555": "2,800\uc5b5", "7556": "\ubc18\ub3c4\uccb4\uc81c\uc870\uc6a9\uc7a5\ube44", "7557": "20\uc77c 500\ub9cc\uc6d0 \ud55c\uad6d\ud654\ud559 \uc2dc\ud5d8\uc5f0\uad6c\uc6d0", "7558": "\ubc00", "7559": "95.5%~95.9%", "7560": "60\uc5b5\uc6d0", "7561": "\uc11c\uc6b8\uc2dc\ub97c \ud3ec\ud568\ud55c 2\uac1c \uc2dc\ub3c4", "7562": "LCI DB \uad6c\ucd95 \uac00\uc774\ub4dc\ub77c\uc778", "7563": "24.9\uc5b5\uc6d0 4.6\uba85", "7564": "\ud0a4\ub974\uae30\uc988", "7565": "BIS \uc778\uc99d \uc2dc\ud589\uc77c 6\uac1c\uc6d4 \uc5f0\uc7a5", "7566": "empty", "7567": "empty", "7568": "\ucca8\ub2e8\u00b7\ud601\uc2e0\uae30\uc220 \uac1c\ubc1c\uc5d0 \uacf5\uc774 \ud070 \uc790", "7569": "\uc804\ub0a8\uc5ec\uc218\uc5d0 \ud569\uc131\uace0\ubb34 \uc81c\uc870\uacf5\uc7a5 \uc124\ub9bd", "7570": "3\ub144\uac04", "7571": "\ud589\uc815\uc790\uce58\ubd80 \ud655\uc815", "7572": "1\ucc9c\ub9cc\uc6d0", "7573": "\uacfc\ud559\uae30\uc220 \ubc0f \ud45c\uc900\uad00\ub9ac\ubd80", "7574": "4\uac1c 8\uac1c", "7575": "\ub4f1\uc0b0\uc6a9 \ub85c\ud504, \uac00\uc18d\ub208\uc379", "7576": "\ub124\ud2b8\uc6cc\ud06c \uc2dc\uc2a4\ud15c", "7577": "\uc81c\ud488 \ud45c\uba74\uc5d0 \u201c\uc8fc\uc758! - \uc0c1\ud574 \uc704\ud5d8\u201d\uc774\ub77c\ub294 \uacbd\uace0 \ubb38\uad6c\ub97c \ud45c\uc2dc\ud560 \uac83", "7578": "empty", "7579": "empty", "7580": "\uc6b4\uc804\uc790\uae08\uc73c\ub85c \uc804\uc6a9\ud55c\ubc14", "7581": "\uc624\ub9ac\uc628\uc804\uae30", "7582": "\ucd94\uac00 \uc0dd\uc0b0 \uae30\uc5c5", "7583": "31\uba85", "7584": "CECO", "7585": "\uc544\uc778\uae00\ub85c\ubc8c", "7586": "\ubc15\ub78c\ud68c \ubd80\uc9c0 \ud655\ubcf4 \ubc0f \uc870\uc131\uacc4\ud68d \uc218\ub9bd", "7587": "260", "7588": "\uc131\uade0\uad00\ub300 \ud55c\uc591\ub300", "7589": "empty", "7590": "empty", "7591": "empty", "7592": "1971\ub144 2 \uc6d4 5 \uc77c", "7593": "empty", "7594": "15.7\uc5b5\uc6d0", "7595": "\ud55c\uc591\ub300 \uc778\ud558\ub300 \ucda9\ubd81\ub300", "7596": "25\uc810 \uc81c\ud488\uc758 \uc815\uccb4\uc131\uacfc \ubbf8\uc801\ud6a8\uacfc \uc2a4\ud1a0\ub9ac\ud154\ub9c1", "7597": "1\ud300", "7598": "empty", "7599": "433\uc5b5\uc6d0", "7600": "\uc778\ucc9c \ubd80\ud3c9\uad6c", "7601": "TFT-LCD, \uc720\uae30 EL, PDP \uc774\ucc28\uc804\uc9c0", "7602": "\uc0bc\uc131\ud14c\ud06c\uc708", "7603": "\uc804\uc0b0\uae30\ub85d\ub9e4\uccb4(\u25b365.3), \ub3fc\uc9c0\uace0\uae30", "7604": "150\uba85", "7605": "empty", "7606": "\uc778\uc1c4\ubb3c, \uce90\ub9ad\ud130, \uad11\uace0, \ubc29\uc1a1 \ub4f1 29.66%", "7607": "empty", "7608": "\uc2dd\uc2a4 \uc2dc\uadf8\ub9c8 \uad50\uc721 \ubc0f \uc131\uacfc\uacf5\uc720\uc81c \uc2e4\ubb34\ud611\uc758\ud68c \uac1c\ucd5c", "7609": "empty", "7610": "12\uc6d4", "7611": "\uce58\uacfc\uc6a9 \uc758\ub8cc\uae30\uae30", "7612": "\uac74\uc124\uc2dc\uacf5 \ubc0f \ud658\uacbd", "7613": "empty", "7614": "16,000\uba85 0-50\uc138 117\uac1c", "7615": "\ubc18\uc6d4\uc2dc\ud654 \uae30\uacc4\u00b7\uc804\uae30\uc804\uc790 7.18", "7616": "\ud55c\uad6d\ucca0\uac15\ud611\ud68c \ud55c\uad6d\ube44\ucca0\uae08\uc18d\ud611\ud68c", "7617": "\ud654\ud569\ubb3c \ubc0f \ud654\ud559\uc81c\ud488", "7618": "\ud55c\uc804 KDN", "7619": "\uc784\uc2dc \uacf5\uac04", "7620": "empty", "7621": "empty", "7622": "412.6", "7623": "empty", "7624": "\ud504\ud0c8\ub808\uc774\ud2b8\uacc4 \uac00\uc18c\uc81c", "7625": "6 500 6 530 \u00b1 510 75 \uc774\uc0c1", "7626": "empty", "7627": "100\uc77c", "7628": "40\uba85 2\ub144 \ud328\uc158\uacbd\uc601\ud559\uacfc 50\uba85", "7629": "empty", "7630": "\ud604\ub300\uc885\ud569\uc0c1\uc0ac", "7631": "2013.\ud558\ubc18\uae30 2016.\uc0c1\ubc18\uae30 2016.12\uc6d4", "7632": "empty", "7633": "\ucc28\ub7c9 \ubd84\uacfc", "7634": "12\ub9cc\uc6d0", "7635": "\uc548\ud589\ubd80", "7636": "empty", "7637": "empty", "7638": "8\uc5b52\ucc9c", "7639": "empty", "7640": "\uc5d0\uc5b4\ucee8 \uc5d0\ub108\uc9c0\ud6a8\uc728 \uaddc\uc81c", "7641": "\uace0\uc6a9\uc720\ubc1c 1,003\uba85, \uc0dd\uc0b0\uc720\ubc1c 3,343\uc5b5\uc6d0", "7642": "empty", "7643": "empty", "7644": "empty", "7645": "\ucd08\uc804\ub3c4 \ucf00\uc774\ube14", "7646": "empty", "7647": "empty", "7648": "\uce5c\ud658\uacbd \ub0c9\ub9e4", "7649": "10\uc5b5\uc6d0 \uc774\ub0b4", "7650": "empty", "7651": "\uacf5\uacf5\ubcf5\uc9c0\u00b7\uc5d0\ub108\uc9c0\uc0b0\uc5c5", "7652": "empty", "7653": "\ub0b4\uc218\uae30\uc5c5, \uc218\ucd9c 10\ub9cc \ub2ec\ub7ec \uc774\ud558 \uc218\ucd9c\ucd08\ubcf4\uae30\uc5c5 589\uac1c\uc0ac", "7654": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790 \uae30\uc220\uac1c\ubc1c \uc2e0\uae30\uc220\u2027\uc81c\ud488 \uac1c\ubc1c\uc5d0 \uacf5\uc774 \ud070 \uc790", "7655": "empty", "7656": "empty", "7657": "\ub3d9\ub0a8, \uc720\ucc3d\ud558\uc774\ud14c\ud06c \ub4f1 12\uac1c\uc0ac", "7658": "\uc790\uae08\uc9c0\uc6d0 \u25aa\uc785\uc8fc\uae30\uc5c5 \uc6a9\uc9c0\ub9e4\uc785\ube44 \uc735\uc790, \ud1a0\uc9c0 \ub4f1 \uc784\ub300\ub8cc \uac10\uba74 \ub4f1", "7659": "\ub300\ud615 \uc5d0\ub108\uc9c0 \ud504\ub85c\uc81d\ud2b8 \uc218\uc8fc \ubc0f \uc790\uae08\uc9c0\uc6d0 \uc591\ud574\uac01\uc11c", "7660": "\uad6d\ud1a0\uc5f0\uc5d0\ub108\uc9c0\uad00\ub9ac\uacf5\ub2e8", "7661": "empty", "7662": "\uc0b0\uc5c5\ubd80", "7663": "empty", "7664": "180\uac74", "7665": "KEIT", "7666": "empty", "7667": "\uae30\uacc4\ub85c\ubd07\ud3c9\uac00\ud300", "7668": "empty", "7669": "\ud604\uc7a5 \uc9c4\ub2e8 \uac00\ub2a5 AI \uc735\ud569 \ub2e4\uc911 \uc601\uc0c1 \ub0b4\uc2dc\ud604\ubbf8\uacbd \uac1c\ubc1c KAIST", "7670": "empty", "7671": "2\uff5e4\uc8fc", "7672": "3216\uc5b5\ubd88", "7673": "\uc9c0\uad6c \uc9c0\uc815 \uae30\uc900 \u2219\ucd1d\uba74\uc801 15\u33a2 \uc774\ub0b4", "7674": "\ubbf8\ub798\ucc28 \ud56d\uacf5", "7675": "\ub0a8\ub300\ubb38 \uc2dc\uc7a5", "7676": "\ub0a8\ubd80 \ubc1c\uc804", "7677": "empty", "7678": "empty", "7679": "empty", "7680": "\ub3c4\ub18d\uc5f0\uacc4\uc0dd\ud65c\uad8c", "7681": "empty", "7682": "\ub300\uc804", "7683": "\uc131\ub2a5\ud3c9\uac00\uc7a5\ube44 \uc704\uc8fc", "7684": "\uc0b0\uc5c5 \uacbd\uc7c1\ub825 \uac15\ud654", "7685": "\uc0c1\uae08 100\ub9cc\uc6d0", "7686": "\ud0dc\uc591\uad11", "7687": "\uc7a5\uc131+\uc601\uad11+\ud568\ud3c9", "7688": "\ud488\uc9c8\uac80\uc0ac\uae30\uad00\uc774 \uac80\uc0ac\uc131\uc801\uc11c\ub97c \ubcf4\uad00", "7689": "\uae40\ubd09\uad6c", "7690": "3\uc2dc\uac04", "7691": "\ubd80\uc0dd\uc218\uc18c 700", "7692": "empty", "7693": "empty", "7694": "empty", "7695": "empty", "7696": "\uae30\uc220\uac1c\ubc1c", "7697": "\uc774\uc804 \uacf5\uacf5\uae30\uad00\uacfc \uc9c0\uc5ed\uc0b0\uc5c5\uc5f0\uacc4\uc131", "7698": "empty", "7699": "empty", "7700": "\ud22c\uc790\uae30\uc5c5 \uc131\uc7a5\uc9c0\uc6d0", "7701": "empty", "7702": "empty", "7703": "2\ub144 3\ub144", "7704": "empty", "7705": "\uc0b0\uc5c5\ubd80", "7706": "empty", "7707": "\ud55c\uad6d\ubb34\uc5ed\ud611\ud68c", "7708": "20.0% \uc774\uc0c1", "7709": "empty", "7710": "empty", "7711": "\uc9c0\uc790\uccb4(\uc804\ub0a8\u00b7\uc804\ubd81\u00b7\uc81c\uc8fc\u00b7\uad11\uc8fc) \uc5d0\ub108\uc9c0\uc2e0\uc0b0\uc5c5 \ud65c\uc131\ud654 \uacf5\ub3d9\ud611\uc57d \uccb4\uacb0", "7712": "3,300\uc5b5\uc6d0", "7713": "\ubbf8\uad6d", "7714": "\ub300\uae30\uc5c5 \uc815\ubd80\ucd9c\uc5f0\uae08\uc758 10%", "7715": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0\uad00", "7716": "empty", "7717": "\uc548\uc131\uc720\ub9ac\uacf5\uc5c5", "7718": "empty", "7719": "empty", "7720": "4\ucc28\uc0b0\uc5c5\ud601\uba85 \uc2dc\ub300\uc640 \ubbf8\ub798 \uc77c\uc790\ub9ac \ubcc0\ud654 \ub4f1\uc5d0 \ub300\ud55c \ud2b9\uac15", "7721": "\uc544\ubaa8\uadf8\ub9b0\ud14d", "7722": "7\uc5b5\uc6d0/\ub144 \uc774\ub0b4", "7723": "176\uac1c 100\uac1c 52\uac1c 127\uac1c 183\uac1c 112\uac1c 72\uac1c", "7724": "\ud55c\uad6d", "7725": "empty", "7726": "empty", "7727": "empty", "7728": "empty", "7729": "\uad6d\ub0b4\uc678 \ud1b5\uc0c1\ud658\uacbd \ubcc0\ud654\uc640 \ud3ec\uc6a9\uc801 \ud1b5\uc0c1", "7730": "\ud06c\ub9ac\uc2a4\ud0c8 \uc9c0\ub178\ubbf9\uc2a4", "7731": "\ud6a8\uc131", "7732": "empty", "7733": "FITI\uc2dc\ud5d8\uc5f0\uad6c\uc6d0", "7734": "empty", "7735": "empty", "7736": "\uc778\ud558\ub300", "7737": "empty", "7738": "63.0 \ucc38\ube5b\uc6d0\uc8fc\ub3c4\uc2dc\uac00\uc2a4\uacf5\uc5c5", "7739": "\u321c\ub098\ubaa8\uc778\ud130\ub799\ud2f0\ube0c", "7740": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "7741": "empty", "7742": "30\uc5b5\uc6d0", "7743": "empty", "7744": "\ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\uc5f0\uad6c\uc6d0", "7745": "10\uc5b5\uc6d0 30\uc5b5\uc6d0 1\uc5b5\uc6d0", "7746": "empty", "7747": "1001", "7748": "empty", "7749": "10,000\uba85", "7750": "empty", "7751": "22.8%", "7752": "1,754.3 2,035.5\u33a2", "7753": "empty", "7754": "\uc790\uc5f0\ubcf4\uc804\uad8c\uc5ed \ud55c\uac15\uc218\uacc4\uc758 \uc218\uc9c8 \ubc0f \uc790\uc5f0\ud658\uacbd\uc758 \ubcf4\uc804\uc774 \ud544\uc694\ud55c \uc9c0\uc5ed", "7755": "empty", "7756": "empty", "7757": "\uad11\ub9bc\uc804\uc790", "7758": "\uad6d\uc73c\ub85c \uc0d8\ud50c \uc1a1\ubd80", "7759": "empty", "7760": "empty", "7761": "empty", "7762": "\ub178\ud2c0\ub2f4", "7763": "\uc0dd\uccb4\ud65c\uc131 \uc138\ub77c\ubbf9\uc2a4\uc758 \uc81c\uc870 \ubc0f \uc0dd\uccb4\uc801\ud569\uc131\uc5f0\uad6c \uc54c\uc564\ud53c\ucf54\ub9ac\uc544", "7764": "6\uba85", "7765": "empty", "7766": "empty", "7767": "6,300\u793e", "7768": "empty", "7769": "62", "7770": "empty", "7771": "empty", "7772": "\uacf5\uad70 \uc804\ud22c\ube44\ud589\ub2e8", "7773": "\uc804\ub825 \uc18c\ube44\ub7c9(2014 \ube44\uc911) \u2460 \uacbd\uae30(21.4%), \u2461 \ucda9\ub0a8", "7774": "\uac00\uc2a4\uc628\uc218\uae30", "7775": "\uac1c\uc778\uc6a9 -\uac1c\uc778 \ubcf4\uc720 \ubaa8\ub378\ub9c1 \ub370\uc774\ud130 \uc720\ucd9c, \ubd88\ubc95 \ubcf5\uc81c\ub97c \ud1b5\ud55c \uc800\uc791\uad8c \uce68\ud574 \ub4f1", "7776": "\uace0\uc815 \uc124\uce58", "7777": "\ud48d\ub825 \ube14\ub808\uc774\ub4dc, \uc5f0\ub8cc\uc804\uc9c0, \uc2dc\ucd94\uc6a9 \ud30c\uc774\ud504", "7778": "2014\u4e0b~ \ubbf8\ub798\ubd80, \uad50\uc721\ubd80, \uc0b0\uc5c5\ubd80, \uace0\uc6a9\ubd80", "7779": "empty", "7780": "10\ub144", "7781": "empty", "7782": "\uc720\ube44\uace0", "7783": "empty", "7784": "2017-2019", "7785": "empty", "7786": "\uc11c\uc6b8\u00b7\uacbd\uae30\u00b7\uc778\ucc9c", "7787": "\ubd84\uc0ac\u00b7\uc778\uc1c4\uae30\uc220", "7788": "empty", "7789": "empty", "7790": "empty", "7791": "53.2% \u2192 2010.11\uc6d4\ub9d0 66.5%", "7792": "46.8%", "7793": "\uc9c0\ub2a5\ub85c\ubd07", "7794": "empty", "7795": "empty", "7796": "\uacbd\ubd81\ub300", "7797": "10\ub144", "7798": "\uad11\ud1b5\uc2e0\uc6a9 \ubb34\uc218\uad11\uc12c\uc720 \uc81c\uc870\uae30\uc220", "7799": "empty", "7800": "\ud2b9\ud5c8\ubc95", "7801": "\ubcf4\uc740 \ub300\ucd94\u00b7\ud55c\uc6b0\ud2b9\uad6c, \uc81c\ucc9c \uc57d\ucd08\uc6f0\ube59\ud2b9\uad6c", "7802": "\ud22c\uc790\uae30\uc5c5 \uc131\uc7a5\uc9c0\uc6d0", "7803": "empty", "7804": "\uc0bc\uc131SDI", "7805": "896\uc5b5\uc6d0", "7806": "empty", "7807": "empty", "7808": "empty", "7809": "LG\uc804\uc790, \uc0bc\uc131\uc804\uc790", "7810": "\uc9c0\ub780\uc9c0\uad50-\ucda9\ub0a8\ub300", "7811": "\uc2dd\ud488\uc758\uc57d\ud488\uc548\uc804\uccad", "7812": "empty", "7813": "\ub125\uc2a4\ud2b8\uc774\uc628", "7814": "\uc131\uade0\uad00\ub300\ud559\uad50", "7815": "empty", "7816": "\uc911\uad6d", "7817": "\uc11c\uac15\ub300\ud559\uad50", "7818": "\ud14c\ud06c\ub9c8\ub2c8\uc544\ub85c\ubd07", "7819": "\uc0b0\uc5c5\ub2e8\uc9c0 \uc5f0\uc138\ub300 \uc6d0\uc8fc\ucea0\ud37c\uc2a4", "7820": "empty", "7821": "empty", "7822": "\uc5f0\uc138\ub300 \uc6d0\uc8fc\ucea0\ud37c\uc2a4 \ud0dc\uc7a5\ub18d\uacf5\uc0b0\uc5c5\ub2e8\uc9c0", "7823": "15\uc5b5", "7824": "\ub098\ub178\uc735\ud569\uc0b0\uc5c5\uc5f0\uad6c\uc870\ud569", "7825": "empty", "7826": "\uc218\uc6a9\uac00\uc6a9 ESS", "7827": "empty", "7828": "empty", "7829": "\uc2dc\uc2a4\ud15c \uc7a5\ube44 \uad6c\uc131 \uc694\uad6c\uc0ac\ud56d", "7830": "4,115\ucc9c\u3398", "7831": "79\uac1c\uc0ac 5", "7832": "\ud604\ub300\uc790\ub3d9\ucc28", "7833": "empty", "7834": "empty", "7835": "45\uac1c\uc6d4/62\uc5b5\uc6d0 \uc774\ub0b4", "7836": "18\uac1c \uacfc\uc81c\uc5d0 316\uc5b5\uc6d0", "7837": "empty", "7838": "\ub3d9\uc6d0\uace0\ub824\uc778\uc0bc", "7839": "\uc911\uacac\uae30\uc5c5(2) \uace8\ud504\uc874, \uc778\uc131\uc815\ubcf4", "7840": "empty", "7841": "empty", "7842": "\uc0b0\uc5c5\uc6a9\uae30\uae30", "7843": "empty", "7844": "\ud3ec\uc2a4\ucf54\ubca0\ud2b8\ub0a8", "7845": "empty", "7846": "\uc2e0\ud55c\uc740\ud589", "7847": "empty", "7848": "\uc790\uc5f0\uc778(\ucc28\ubcc4 \uc5c6\uc74c), \ubc95\uc778", "7849": "45\uac1c\uad6d 1995\ub144", "7850": "\uc2e0\ud65c\ub825\uc0ac\uc5c5 \ucd94\uc9c4\uacfc \uc9c0\uc5ed\ud601\uc2e0\uccb4\uacc4 \uad6c\ucd95", "7851": "\uc790\ub3d9\ucc28\ubd80\ud488", "7852": "empty", "7853": "\uae30\uc220\uac1c\ubc1c", "7854": "empty", "7855": "\uc720\uc9c4\ub85c\ubd07", "7856": "\uc804\ubb38\ub300\ud559\uc0b0\ud559\ud611\ub825 \ud3ec\ub7fc", "7857": "\ud504\ub808\uc2a4 \uc13c\ud130", "7858": "\ud574\uc591\ubc14\uc774\uc624 \uae30\ub2a5\uc131 \uc2dd\ud488 \uace0\ud765\uad70", "7859": "\uc6b0\ud3b8\uc5c5", "7860": "\ud55c\uad6d\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "7861": "\uacf5\uc815 \uc194\ub8e8\uc158 \ud328\ud0a4\uc9c0 \uae30\uc220\uc81c\uacf5 \uc0ac\uc6a9\ub8cc 50 \ucd1d 500\ub300", "7862": "500\ub9cc\uc6d0", "7863": "\uc81c\uc870\uc5c5 \ubc0f \uc11c\ube44\uc2a4 \ub4f1 \ub9ac\ube44\uc544\uc640\uc758 \uacf5\ub3d9 \ud504\ub85c\uc81d\ud2b8 \ucd94\uc9c4", "7864": "empty", "7865": "33\ub144 9\uc6d4", "7866": "empty", "7867": "\uacbd\ubd81\ub300", "7868": "empty", "7869": "empty", "7870": "\uaca9\ub0a9\uac74\ubb3c \ucca0\ud310\ubd80\uc2dd \uc810\uac80 \ubc0f \ubcf4\uc218 2017 \uace0\ub9ac3\ud638\uae30", "7871": "\uc12c\uc720\uc81c\uc870 \uc124\ube44 \uc218\ucd9c, \uad6d\ub0b4 \uc12c\uc720\uae30\uc5c5\uc758 \uc911\uc559\uc544 \uc0dd\uc0b0\uae30\ubc18 \ud655\ubcf4", "7872": "7. 27", "7873": "empty", "7874": "empty", "7875": "empty", "7876": "\uc0b0\uc5c5\uacbd\uc7c1\ub825\uac15\ud654\ubc95", "7877": "\uc790\ub3d9\ucc28, \ucca8\ub2e8\uc0b0\uc5c5", "7878": "empty", "7879": "empty", "7880": "empty", "7881": "\uc0b0\uc5c5\ubd80\uc7a5\uad00, \ud1b5\uc0c1\uad50\uc12d\ubcf8\ubd80\uc7a5, \uad00\uc138\uccad\uc7a5 \ub4f1", "7882": "empty", "7883": "\ud734\ub300\ub2e8\ub9d0\uc6a9 \uc794\ub958\ub18d\uc57d \uac80\ucd9c\uae30", "7884": "empty", "7885": "\ucf54\uc624\ub871\uae00\ub85c\ud14d", "7886": "\uc790\ub3d9\ucc28 \ubd80\ud488, \ud328\uc158 \ub4f1 15\uac1c", "7887": "empty", "7888": "74\ub9cc\ub300", "7889": "empty", "7890": "33.1%", "7891": "\ud48d\uc7a521 \uc608\uc220\ub2e8", "7892": "empty", "7893": "empty", "7894": "\uc694\ub85c\uae08\uc18d", "7895": "empty", "7896": "\uc804\uc790\uc18c\uc790\uc81c\uc870\uc7a5\ube44", "7897": "\ud50c\ub79c\ud2b8\u00b7\uc5d0\ub108\uc9c0\uc7a5\ube44", "7898": "\uce5c\ud658\uacbd \uacf5\uc815", "7899": "empty", "7900": "\uc0bc\uc131\uc804\uc790, SK\ud558\uc774\ub2c9\uc2a4, \ub3d9\ubd80\ud558\uc774\ud14d \ud339\ub9ac\uc2a4", "7901": "\uc694\uc18c \ubc0f \ubaa8\ub4c8 \uae30\uc220 \ud50c\ub7ab\ud3fc \uae30\uc220 \ud3c9\uac00\uc778\uc99d \uae30\uc220", "7902": "empty", "7903": "\uc804\ubd81 \uc644\uc8fc", "7904": "empty", "7905": "empty", "7906": "\uc591\uc0b0\uccb4\uc81c \uad6c\ucd95\uc744 \uc704\ud55c \uc0dd\uc0b0\uacf5\uc815 \uc124\uce58\ube44 \ub4f1 \uc2dc\uc124\uc790\uae08", "7907": "18\uac1c\uc6d4", "7908": "empty", "7909": "\uc678\uad6d\uc778 \ud22c\uc790\uc5c5\uc885 \uc81c\ud55c \uc644\ud654", "7910": "10%\ub97c \ubc95\uc778\uc138(\ub610\ub294 \uc18c\ub4dd\uc138)\uc5d0\uc11c \uacf5\uc81c", "7911": "empty", "7912": "empty", "7913": "empty", "7914": "\uc120\ud589\uae30\uc220\uc870\uc0ac \uc0ac\uc5c5", "7915": "\uc138\ub77c\ubbf9\uae30\uc220\uc6d0", "7916": "empty", "7917": "empty", "7918": "\uad6d\ub0b4 \ubc30\uc804\ub9dd \ubc1c\uc804\uae30 \uc608\ube44\ub825 \ub300\uaddc\ubaa8 \uc218\uc6a9\uac00", "7919": "\uc2e0\uc7ac\uc0dd \uc5d0\ub108\uc9c0 \uc194\ub8e8\uc158", "7920": "\ubbfc\ubc95 \uc81c38\uc870 (\ubc95\uc778\uc758 \uc124\ub9bd\ud5c8\uac00\uc758 \ucde8\uc18c) \uc81c38\uc870", "7921": "empty", "7922": "\uae30\uc220\ud2b9\uc131", "7923": "11\uc870 2,771\uc5b5\uc6d0", "7924": "empty", "7925": "6 (\uc9c0\uc815-4) \uc2a4\ub9c8\ud2b8 \uc548\uc804\uc81c\uc555\uc7a5\ube44 \uac1c\ubc1c", "7926": "\uc548\uba74\ubcf4\ud638 \ub9c8\uc2a4\ud06c", "7927": "\ub0c9\uc7a5\uace0", "7928": "26%", "7929": "empty", "7930": "empty", "7931": "empty", "7932": "\uc6b8\uc0b0\uacfc\ud559\uae30\uc220\ub300", "7933": "\uae30\uc220\ud2b9\uc131 (20) \u2981\ud601\uc2e0\uc131(10) : \uae30\uc220\uc801 \ud601\uc2e0\uc131", "7934": "\ub300\ud559\uc0dd \ud504\ub85c\uadf8\ub7a8", "7935": "empty", "7936": "empty", "7937": "\uc804\uae30\ucc28 \uae09\u00b7\uc644\uc18d \ucda9\uc804 \uc11c\ube44\uc2a4", "7938": "empty", "7939": "\uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4", "7940": "4\ud559\ub144", "7941": "10.5\uc5b5\uc6d0", "7942": "\uacfc\ud559\uae30\uc220\ubd80", "7943": "\uc804\uc2dc\uc7a5 \ub85c\ube44, 2\uce35 \uc57c\uc678\ubb34\ub300", "7944": "\uc218\uc694\uc790 \uc911\uc2ec\uc758 \uae30\uc5c5\uc9c0\uc6d0 \uacf5\uc6a9\uc7a5\ube44 \uc9c0\uc6d0\uc13c\ud130 \uc124\uce58", "7945": "empty", "7946": "13%", "7947": "\uacf5\uc815\uac1c\ubc1c \ubc0f \ud544\ub4dc\uc5d4\uc9c0\ub2c8\uc5b4", "7948": "empty", "7949": "2\uac1c \ubd80\uc2a4 \uc774\ud558 \uc2e4 \ucc38\uac00\uba74\uc801 \uae30\uc900 50% 50% 50%", "7950": "\ucf54\ub9e5\uc2a4", "7951": "40\uc810", "7952": "50\uc810", "7953": "\ud604\ub300\uc790\ub3d9\ucc28", "7954": "empty", "7955": "empty", "7956": "\uad00\ub3d9\uc804\ub825) \uc0ac\ub840", "7957": "\uc911\uc18c\uae30\uc5c5\uc758 \uae00\ub85c\ubc8c \uac15\uc18c\uae30\uc5c5\ud654\ub97c \uc704\ud55c \ub3d9\ubc18\uc131\uc7a5\ud615 R&D \uac15\ud654", "7958": "10.1\ub9cc\uba85 \uc1a1\ub3c4\uad6d\uc81c\ubcd1\uc6d0 (B) 600\uac1c 256\uba85", "7959": "empty", "7960": "225\ubc31\ub9cc\uc6d0", "7961": "empty", "7962": "empty", "7963": "empty", "7964": "\ud601\uc2e0\uc9c0\uc6d0\uacfc", "7965": "empty", "7966": "empty", "7967": "empty", "7968": "\uae30\uc5c5\uc740\ud589", "7969": "empty", "7970": "empty", "7971": "empty", "7972": "empty", "7973": "empty", "7974": "empty", "7975": "\ub4dc\ub860\uc744 \ud65c\uc6a9\ud55c \ud56d\uacf5\ub4f1\ud654\uc2dc\uc124 \uc790\ub3d9\uc810\uac80\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "7976": "empty", "7977": "empty", "7978": "empty", "7979": "empty", "7980": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "7981": "\uc9c0\ub2a5\ud615\uc804\ub825\ub9dd", "7982": "empty", "7983": "\uc601\ub3d9\uad70", "7984": "68%", "7985": "SFD\ud2b9\ud654\uc18c\uc7ac \ubc0f Masterpiece\uc81c\ud488", "7986": "\uc77c\ubcf8(1) \uc591\ud30c\u00b7\ud45c\uace0\ubc84\uc12f\u00b7\ub4f1\uc2ec\ucd08 \ud3f4\ub780\ub4dc", "7987": "\ubca0\uac00R3", "7988": "\uc624\ub80c\uc9c0\uc96c\uc2a4", "7989": "\uc804\ubb38\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc18c", "7990": "empty", "7991": "0.07%", "7992": "\ubbf8\uad6d", "7993": "\ub86f\ub370\ubc31\ud654\uc810, \ud604\ub300\ubc31\ud654\uc810, \uc2e0\uc138\uacc4\ubc31\ud654\uc810", "7994": "empty", "7995": "5,000\uac1c", "7996": "\uc0b0\uc5c5\ubd80\uc7a5\uad00, \ud1b5\uc0c1\uad50\uc12d\ubcf8\ubd80\uc7a5, \uad00\uc138\uccad\uc7a5 \ub4f1", "7997": "\uace0\uc6a9\ud3c9\ub4f1, \uc778\ub825\uac1c\ubc1c, \uc548\uc804\ubcf4\uac74, \ub178\uc0ac\uad00\uacc4, \uc724\ub9ac\uacbd\uc601\uc81c\ub3c4 \ud3c9\uac00", "7998": "249\uac74", "7999": "\uc5d0\ub108\uc9c0\uc790\uc6d0 \uc735\ud569\uc6d0\ucc9c \uadf8\ub9b0\uce74", "8000": "empty", "8001": "\ubbf8\uad6d", "8002": "\uc911\uc18c\uae30\uc5c5 \uad50\uc721 \ubc0f \ucee8\uc124\ud305 \uc9c0\uc6d0 \uc54c\ub9c8\ub374\ub514\uc790\uc778\ub9ac\uc11c\uce58", "8003": "empty", "8004": "\uc624\uac00\ud0a4\uc815\uacf5 \uc8fc\uc2dd\ud68c\uc0ac", "8005": "- \u25aa(4\uc885\uc2e0\uc124) \ub77c\uba74, \uacfc\uc790, \ube59\uacfc\ub958, \uc544\uc774\uc2a4\ud06c\ub9bc\ub958", "8006": "empty", "8007": "\uc2e0\ub8b0\uc131 \ud3c9\uac00\u00b7\uc778\uc99d\uc744 \uac70\uce5c \ubd80\ud488\u00b7\uc18c\uc7ac - \uc81c\uc870\ubb3c \uc77c\ubc18", "8008": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "8009": "164\uac1c\uad6d", "8010": "15\uac1c\uad6d", "8011": "20\ub144", "8012": "empty", "8013": "empty", "8014": "empty", "8015": "empty", "8016": "300\ud3c9", "8017": "\uadf8\ub798\ud540 \ud45c\uc900\ud654 \ub85c\ub4dc\ub9f5", "8018": "empty", "8019": "21\uac1c/281\uc5b5\uc6d0", "8020": "\uc218\uc1a1\uc2dc\uc2a4\ud15c \uc870\uc120 / \uc790\ub3d9\ucc28", "8021": "empty", "8022": "empty", "8023": "empty", "8024": "20\uc5b5\uc6d0 \uc774\ub0b4", "8025": "empty", "8026": "empty", "8027": "21\uac1c/281\uc5b5\uc6d0", "8028": "empty", "8029": "10% \uc774\uc0c1", "8030": "20\uc5b5\uc6d0", "8031": "5\ub9cc5\ucc9c\uc6d0", "8032": "empty", "8033": "\ud2b9\ud5c8\uccad", "8034": "\uad50\uc721\uacfc\ud559\uae30\uc220\ubd80", "8035": "empty", "8036": "\uc790\ub3d9\ucc28\uad00\ub9ac\ubc95\uc5d0 \ud574\uccb4 \ubc0f \uc7ac\ud65c\uc6a9\uc5c5 \ub4f1\ub85d \ud5c8\uac00\ub9d0\uc18c\ub300\ud589 \uc11c\ube44\uc2a4 \uc2dc\ud589", "8037": "empty", "8038": "empty", "8039": "20% \uc911\uad6d", "8040": "empty", "8041": "empty", "8042": "\uace0\ub824\ub300\ubcd1\uc6d0, \uc544\uc8fc\ub300\ubcd1\uc6d0, \uc5f0\uc138\ub300\ubcd1\uc6d0", "8043": "empty", "8044": "16\uac1c\uad6d \uac74\uc218 31\uac74 28\uac74", "8045": "empty", "8046": "AI\uae30\ubc18 \uc2a4\ub9c8\ud2b8\ud558\uc6b0\uc9d5 \uae30\uc220\uac1c\ubc1c", "8047": "0.2 L/min", "8048": "5\ub144\uc774\uc0c1 \uacbd\ub825\uc790", "8049": "empty", "8050": "\uae08\uc18d\uc7ac\ub8cc\ubd80\ubb38 3. \uc7ac\ub8cc\u02d9\uc18c\uc7ac\ubd84\uc57c", "8051": "\ubd81\ubbf8, \uc601\uad6d\uc758 50\uc5ec\uac1c \ub3c4\uc2dc\uc640 100\uc5ec\uac1c \ub300\ud559 67.5\ub9cc\uba85", "8052": "empty", "8053": "7\uac1c", "8054": "O-\uba64\ubc84", "8055": "empty", "8056": "70\uc5b5\uc6d0", "8057": "\ud654\ud559\uc5f0\uad6c\uc6d0", "8058": "\uc2dc\uc2a4\ud15c\ud615", "8059": "\uc758\ub8cc \ub85c\ubd07", "8060": "empty", "8061": "empty", "8062": "1", "8063": "\uc138\uc298 \uc804\uc774 \uc8fc\ud30c\uc218", "8064": "\uc804\ubd81", "8065": "\uc12c\uc720", "8066": "empty", "8067": "\uc7a5\ub824\uc0c1 \uc6d0\uc790\ub825 \ub17c \ubb38 \uc77c\ubc18\ubd80 \uc7a5\uad00\uc0c1 1\ud3b8 \uc0c1\uae08 250\ub9cc\uc6d0", "8068": "\ubbf8\uad6d", "8069": "\ucda9\ub0a8 \ucc9c\uc548\uc2dc", "8070": "\ud0dc\uad6d", "8071": "empty", "8072": "\uae30\ub300\ud6a8\uacfc \uc218\uc900 \ube44\uc6a9\uc808\uac10, \uc870\uc9c1\uc720\uc5f0\uc131", "8073": "empty", "8074": "empty", "8075": "\uae30\uc7ac\ubd80", "8076": "\ud54f\uce58\uacc4\ud0c4\uc18c\uc12c\uc720 \ubc0f \ub2e8\uc5f4\uc7ac \uc81c\uc870\uae30\uc220", "8077": "\ud55c\uc591\u00b7\ubd80\uc0b0\u00b7\uacbd\ubd81", "8078": "\uacbd\ub0a8 \uc0dd\ubb3c \uc81c\uc8fc \ubc14\uc774\uc624", "8079": "empty", "8080": "\ub9c8\uc2a4\ud130\ud50c\ub79c\uc0ac\uc5c5 \ub9c8\uc2a4\ud130\ud50c\ub79c \uc218\ub9bd \uc9c0\uc6d0 \uad6d\ud1a0\uad50\ud1b5\ubd80 \ud574\uc678\uac74\uc124\uc815\ucc45\uacfc \uac1c\ubc1c\ud611\ub825\ud300", "8081": "28\uac74 \uc218\uc8fc \uae08\uc561 2,031\ubc31\ub9cc\ubd88", "8082": "\ucca0\uac15 \ud558\uc774\uc2a4\ucf54", "8083": "\ub274\uc9c8\ub79c\ub4dc", "8084": "\uc561\uc815\ub514\ubc14\uc774\uc2a4", "8085": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc6d0", "8086": "16\uac1c", "8087": "1\ub144", "8088": "\uac1c\ub9c9\uc2dd", "8089": "\ud55c\ubcf5 \uc1fc\ud551\ubc31", "8090": "\ud55c\uad6d\ub18d\uc218\uc0b0\uc2dd\ud488\uc720\ud1b5\uacf5\uc0ac", "8091": "\uc778\ud558\ub300", "8092": "empty", "8093": "empty", "8094": "4 \uacc4\ub7c9\uce21\uc815 \ud55c\uad6d\uc758 \ubc95\uc815\uacc4\ub7c9 \uc81c\ub3c4 \ubc0f \uc815\ucc45", "8095": "\ucc28\uc138\ub300 \uc77c\ub958\uc0c1\ud488", "8096": "\uace0\uc6a9\ubd80", "8097": "\uc774\ub79c\ub4dc \ucc28\uc774\ub098", "8098": "empty", "8099": "empty", "8100": "LG\uc804\uc790", "8101": "\uc2a4\ub9c8\ud2b8 \ub514\ubc14\uc774\uc2a4\ub97c \uc774\uc6a9\ud55c \ubcd1\uc6d0\uc9c4\ub8cc \uc9c0\uc6d0 \uc11c\ube44\uc2a4", "8102": "empty", "8103": "\uc778\ucc9c\uba85\ud604\uc911\ud559\uad50", "8104": "empty", "8105": "\uc548\uc0b0\uacf5\uc5c5\uace0\ub4f1\ud559\uad50", "8106": "\ub2c8\ucf08-\ud06c\ub86c \ub3c4\uae08, \uc544\uc5f0\ub3c4\uae08 7.11 \uff5e 7.12", "8107": "empty", "8108": "12\ub9cc\ub300", "8109": "\ub204\uc804\ucc28\ub2e8\uae30 22 \ub450\uc6d0\uc815\ubc00(\uc8fc) \ub4f1 4\uac1c\uc5c5\uccb4", "8110": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8111": "\ud55c\uc6b8\ub85c\ubcf4\ud2f1\uc2a4", "8112": "empty", "8113": "empty", "8114": "empty", "8115": "empty", "8116": "\ubaa9\uac78\uc774, \ubc18\uc9c0, \uc218\uc800, \uadf8\ubc16\uc758 \uadc0\uae08\uc18d\uac00\uacf5\uc0c1\ud488", "8117": "IT\uc735\ud569 \uc815\ubcf4\ud1b5\uc2e0\ucd1d\uad04\uacfc \ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uc6d0", "8118": "empty", "8119": "5.97", "8120": "empty", "8121": "100\ub9cckW", "8122": "\ud3c9\ud310\ub514\uc2a4\ud50c\ub808\uc774\uc81c\uc870\uc6a9\uc7a5\ube44", "8123": "empty", "8124": "empty", "8125": "empty", "8126": "empty", "8127": "empty", "8128": "empty", "8129": "\ubbf8\ub798\ud615\uc790\ub3d9\ucc28", "8130": "empty", "8131": "empty", "8132": "empty", "8133": "\uc804\uc790 \ub514\uc2a4\ud50c\ub808\uc774", "8134": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0", "8135": "4\ub144-\ud559\uc0ac", "8136": "471\uc5b5\uc6d0", "8137": "empty", "8138": "\uc2e0\uc131\ud1b5\uc0c1", "8139": "\uc9c0\uc6d0\uc2dc\uc124\uad6c\uc5ed\ub9cc \uc785\uc8fc \uac00\ub2a5", "8140": "87%", "8141": "\ubbf8\uad6d", "8142": "empty", "8143": "400\uac1c", "8144": "\uadf8\ub9b0\ub098\ub77c, DN, JSC", "8145": "\uc9c0\ud5581\uce35", "8146": "31% \uc99d\uac00", "8147": "empty", "8148": "\uc2e0\uc138\uacc4 \uba74\uc138\uc810 \ud648\ud398\uc774\uc9c0, SNS \ucc44\ub110\ub4f1\uc744 \uc5f0\uacc4\ud55c \uc2dc\uc7a5\uc628\ub77c\uc778 \ud64d\ubcf4 \uad11\uc7a5\uc2dc\uc7a5 \uc5f0\ud569", "8149": "\ud55c\uad6d\uc0b0\uc5c5 \uae30\uc220\uc9c4\ud765\uc6d0 \ud55c\uad6d\ud2b9\ud5c8\uc804\ub7b5 \uac1c\ubc1c\uc6d0", "8150": "empty", "8151": "empty", "8152": "empty", "8153": "\ud55c\uc804\uae30\uc220", "8154": "\uc0bc\uc131SDI\uc8fc\uc2dd\ud68c\uc0ac", "8155": "\uae30\uacc4\uc0b0\uc5c5 \uace0\ubd80\uac00\uac00\uce58\ud654\ub85c \uae00\ub85c\ubc8c 5\ub300 \uae30\uacc4\uac15\uad6d \uae30\ubc18 \uc0b0\uc5c5\ub2e8\uc9c0", "8156": "\uc0b0\uc5c5\ubd80", "8157": "\uae00\ub85c\ubc8c\uc804\ubb38\uae30\uc220 \uac1c\ubc1c\uc0ac\uc5c5", "8158": "100\uc8fc\ub144 \uae30\ub150\uad00 \ub300\uac15\ub2f9", "8159": "empty", "8160": "7\uc77c \uc774\ub0b4", "8161": "10\uba85\uc774\uc0c1 \ub514\uc790\uc778 \uff65\ub514\uc790\uc778 \uc804\ubb38\uc778\ub825 10\uba85\uc774\uc0c1", "8162": "\uc5f0\uad6c\ube44 \uc138\uc561\uacf5\uc81c, \uc124\ube44\ud22c\uc790 \uc138\uc561\uacf5\uc81c, \uc9c0\ubc29\uc138 \uba74\uc81c \ub4f1 7\uac1c", "8163": "\uc790\ub3d9\ucc28 \uc7ac\uc81c\uc870\ubd80\ud488 \uc815\ubd80\uc778\uc99d \uae30\uc5c5", "8164": "5\ucc9c\ub9cc\uc6d0\uc774\ub0b4/\uad11\uc5ed -\uc0ac\uc5c5\ub2f9 2\ucc9c~3\ucc9c\ub9cc\uc6d0", "8165": "3\ub144 \uc774\ub0b4", "8166": "\ud589\uc815\uccb4\uc81c \uac1c\ud601", "8167": "empty", "8168": "\uc624\ud058\ub7ec\uc2a4 VR 23\uc5b5 \ub2ec\ub7ec \uc778\uc218, \uc624\ud058\ub7ec\uc2a4 \ub9ac\ud504\ud2b8 \uc0c1\uc6a9\ubc84\uc804 \ucd9c\uc2dc", "8169": "empty", "8170": "empty", "8171": "\uc911\uc9c4\uacf5", "8172": "empty", "8173": "empty", "8174": "2\ucc28\uc804\uc9c0", "8175": "empty", "8176": "empty", "8177": "\uc11c\uc6b8\ub300", "8178": "37\uc810 \uc774\ud558", "8179": "\uc2a4\ub9c8\ud2b8 \ubaa8\ubc14\uc77c \uc7a5\ube44\ub97c \uc774\uc6a9\ud55c \uc0b0\uc5c5\uc548\uc804\uad00\ub9ac \uc2dc\uc2a4\ud15c", "8180": "empty", "8181": "\ud55c\uad6d\uad11\uae30\uc220\uc6d0", "8182": "\u321c\uc9c0\uc624\ub124\ud2b8", "8183": "empty", "8184": "empty", "8185": "\ud568\ubd80\ub974\ud06c", "8186": "\uc804\uae30\uc804\uc790", "8187": "\uc0bc\uc131\uc804\uc790", "8188": "\ud22c\uc790\uc608\uc0b0 \ud22c\uc790\uc608\uc0b0 \uae30\uc5c5\uc774 e-\ube44\uc988\ub2c8\uc2a4\ub97c \ucd94\uc9c4\ud558\uae30 \uc704\ud55c \uc7ac\ubb34\uc801 \uc790\uc6d0", "8189": "\uc778\ucc9c\uad6d\uc81c\uacf5\ud56d\uacf5\uc0ac", "8190": "empty", "8191": "\ub300\uae30\uc5c5\uacc4\uc5f4 \uc0ac\ubaa8\ud380\ub4dc\uc5d0 \ub300\ud55c \uc81c\ud55c \ud3d0\uc9c0", "8192": "empty", "8193": "5-4", "8194": "empty", "8195": "empty", "8196": "empty", "8197": "empty", "8198": "empty", "8199": "empty", "8200": "\uba85\ub3d9, \uac15\ub0a8\uc5ed \ub4f1 8\uac1c\uc18c", "8201": "empty", "8202": "LG\uc804\uc790", "8203": "\uc678\uad6d\uc778 \ubd80\ub3d9\uc0b0\uc911\uac1c\uc5c5\uc18c \uc120\uc815\uae30\uc900 \ub9c8\ub828", "8204": "empty", "8205": "13-1 \uc12c\uc720\uc0b0\uc5c5 \uad6c\uc870\ud601\uc2e0 \uc9c0\uc6d0", "8206": "\uacfc\ud559\uae30\uc220 \ubc0f \ud45c\uc900\uad00\ub9ac\ubd80", "8207": "MoMo\ud648\uc1fc\ud551", "8208": "46\uac1c\uad6d 1978\ub144 \ud638\uc8fc\uadf8\ub8f9(AG) \uc0dd\ud654\ud559\ubb34\uae30 219\uac1c", "8209": "empty", "8210": "\uc591\uc790\uc810 LED", "8211": "empty", "8212": "empty", "8213": "0.33 kW", "8214": "\ubc15\ub9c9\ucf54\ud305\uae30\uc220 \ubc0f \uc7a5\ube44\uc81c\uc791\uae30\uc220 \uc774\uc804\uacc4\uc57d \uccb4\uacb0", "8215": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0", "8216": "\uc11c\uc6b8 COEX 3\uce35 \uc624\ub514\ud1a0\ub9ac\uc6c0", "8217": "520\uba85", "8218": "\ud648\uc624\ud1a0\uba54\uc774\uc158 \ud648\ub124\ud2b8\uc6cc\ud06c", "8219": "\ud55c\uad6d\ub85c\ubd07\uc735\ud569\uc5f0\uad6c\uc6d0", "8220": "2500000", "8221": "\uc0b0\uc5c5\uacbd\uc7c1\ub825 \uac15\ud654\uc9c0\uc6d0", "8222": "empty", "8223": "30% \uc774\uc0c1", "8224": "empty", "8225": "\uc77c\ubcf8 \uc601\uad6d \uae30\uad00\uba85 \uc18c\ube44\uc790\uc81c\ud488\uc548\uc804\uc704\uc6d0\ud68c", "8226": "548\ub9cckW", "8227": "empty", "8228": "empty", "8229": "empty", "8230": "\ucef4\ud4e8\ud130\uacf5\ud559\uacfc", "8231": "\uad00\uc138\ubc95 WTO\ubc18\ub364\ud551\ud611\uc815 \uc0c1\uacc4\uad00\uc138 \ubcf4\uc870\uae08 \uc9c0\uae09", "8232": "90\uac1c\uc0ac", "8233": "3136", "8234": "\uc804\ub3d9\ud0a5\ubcf4\ub4dc", "8235": "\uad6d\ubc29\ubd80 \uad00\ub828 \ubd80\ub300 \ubcf5\ubb34 \uc5f0\uacc4", "8236": "\ub9c8\ucf00\ud305 \uc9c1\uc811 \uc9c0\uc6d0", "8237": "4,000 \uac00\uad6c 20 nan 1\ub4f1 500\ub9cc\uc6d0", "8238": "\uc911\uae30\uccad", "8239": "23.0", "8240": "1.9%", "8241": "\uc2a4\ub9c8\ud2b8\uc13c\uc11c, CPS, 3D\ud504\ub9b0\ud305, \uc5d0\ub108\uc9c0\uc808\uac10 \uae30\uc220", "8242": "5561", "8243": "empty", "8244": "empty", "8245": "\uc218\uc11d\ub300\ud45c\ud68c\uc758", "8246": "25,175.1\uc5b5\uc6d0", "8247": "empty", "8248": "23.6%", "8249": "empty", "8250": "empty", "8251": "empty", "8252": "\ub514\uc824\uc5d4\uc9c4 \uae30\uc220", "8253": "empty", "8254": "3\uba85", "8255": "\uc624\uc2a4\ud2b8\ub9ac\uc544", "8256": "empty", "8257": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8258": "empty", "8259": "3.2", "8260": "\ubc15\uc6d0\uc21c \ub300\ud55c\ubbfc\uad6d\uc2dc\ub3c4\uc9c0\uc0ac\ud611\uc758\ud68c\uc7a5", "8261": "empty", "8262": "\ub098\ub178\uc0b0\uc5c5\uae30\uc220\uc5f0\uad6c\uc870\ud569", "8263": "empty", "8264": "\uc11c\uc6b8\ub300\ud559\uad50 \uad50\uc218", "8265": "empty", "8266": "\ubbf8\uad6d, \uce90\ub098\ub2e4, \ub3c5\uc77c, \ud504\ub791\uc2a4, \uc601\uad6d, \uc2a4\ud398\uc778, \uc774\ud0c8\ub9ac\uc544, \uc77c\ubcf8", "8267": "\uc911\uc7ac\uc81c\ub3c4\uc758 \uae30\ubcf8\ub0b4\uc6a9\uacfc \uc911\uc7ac\ud611\uc758\uc758 \ud6a8\ub825", "8268": "6\uc5b53,663\ub9cc\uba85", "8269": "\ub514\uc790\uc778 \ucc3d\uc758\ub825 \uad50\uc2e4", "8270": "\ub300\uaddc\ubaa8\uc810\ud3ec \ub0b4 \ud45c\uc2dc\uc758\ubb34\uc790", "8271": "\uae08\uc735\ud22c\uc790\uad00", "8272": "\uc790\ub3d9\ucc28", "8273": "\ud0dc\uc591\uad11", "8274": "\uc218\uc6d0 2. 13(\uae08) \ubb34\uc5ed\ud611\ud68c \uacbd\uae30\uc9c0\ubd80", "8275": "1\ub144\u219210\ub144\uc73c\ub85c \ud655\ub300", "8276": "16\uac1c", "8277": "\uc628\ub77c\uc778 \uc811\uc218", "8278": "\uc6b0\uc11d\ub300", "8279": "U-\ube44\uc988\ub2c8\uc2a4", "8280": "\ubc31\ucda9\uc5f4", "8281": "\uc0b0\uc5c5\uc778\ub825 \uc721\uc131 \ud5c8\ube0c", "8282": "\ub300\ud559, \uc5f0\uad6c\uc18c, \uae30\uc5c5 \ub4f1 \uae30\uc5c5", "8283": "\uc218\uc694\uc790\uc6d0 \uac70\ub798\uc2dc\uc7a5 \uac1c\uc124 * \u300c\uc804\ub825\uc2dc\uc7a5\uc6b4\uc601\uaddc\uce59\u300d\uac1c\uc815(\uc548) \uc2b9\uc778", "8284": "empty", "8285": "empty", "8286": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "8287": "empty", "8288": "empty", "8289": "empty", "8290": "empty", "8291": "empty", "8292": "empty", "8293": "empty", "8294": "\ubc29\ud5a5\uc81c", "8295": "empty", "8296": "empty", "8297": "empty", "8298": "\uc73c\ub2a5\uc815\uc774\uac70\ub9ac", "8299": "\uae08\uc735\uacb0\uc81c\uc6d0", "8300": "empty", "8301": "empty", "8302": "20\uc5b5\uc6d0", "8303": "\uc804\uae30\uae30\uae30 \uad6d\ub0b4 \uc0b0\ud559\uc5f0 \uc804\ubb38\uac00 30\uc5ec\uba85", "8304": "\uac00\uc2a4\uc548\uc804\uad00\ub9ac\uc2dc\uc2a4\ud15c \uad6c\ucd95\uc9c0\uc6d0 \ud55c\uad6d\uac00\uc2a4\uc548\uc804\uacf5\uc0ac", "8305": "10.5 (\ud1a0) 07:30~08:30", "8306": "6", "8307": "empty", "8308": "6\uac1c\uc0ac", "8309": "\ud55c\ubc2d\ub300\ud559\uad50 \uc2dc\uacf5\uc7ac\ub8cc\uc5f0\uad6c\uc2e4B\ud300", "8310": "empty", "8311": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ub300 \ucee8\uc18c\uc2dc\uc5c4", "8312": "empty", "8313": "\uc544\ube44\uc9c0", "8314": "\ud604\uc7a5\ub300\uae30 \ud504\ub85c\uc81d\ud2b8 \uc9c0\uc6d0", "8315": "19.0%", "8316": "\ud540\ub780\ub4dc", "8317": "6%", "8318": "empty", "8319": "\uc720\ub2c8\ucf54\uc815\ubc00\ud654\ud559", "8320": "\ud1f4\uc9c1\ubb34\uc5ed\uc778\ub825", "8321": "empty", "8322": "\uc804\uc790\uc81c\ud488 \uc5d0\ub108\uc9c0 \ub77c\ubca8\ub9c1", "8323": "50%\uc9c0\uc6d0", "8324": "empty", "8325": "\ubbf8\uad6d", "8326": "\ub2e8\uc5f4 \ube44\ub2d0 \ubc0f \ubb38\ud48d\uc9c0 \ubd99\uc774\uae30", "8327": "\uc911\uc18c\ud615 \uac00\uc804", "8328": "empty", "8329": "\uae30\uc544 \uc790\ub3d9\ucc28", "8330": "empty", "8331": "\uc2b9\uac15\uae30\uc548\uc804\uad00\ub9ac\uc6d0", "8332": "93%", "8333": "empty", "8334": "\uae30\uc5c5\ud65c\ub825\ubc95", "8335": "\uae30\uc5c5\uc9c0\uc6d0\uae30\uad00 (\ube44R&D) \ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 100% \uc774\ud558", "8336": "empty", "8337": "\uc0dd\uba85\uacfc\ud559 (\uc8fc)\uc544\ubaa8\ub808\ud37c\uc2dc\ud53d", "8338": "empty", "8339": "\uc0b0\uc5c5\uc6d0\ub8cc\uad11\ubb3c \uc548\uc815\uacf5\uae09", "8340": "empty", "8341": "SK\ucf00\ubbf8\uce7c", "8342": "\uc11c\uc6b8\ub300\ud559\uad50", "8343": "empty", "8344": "empty", "8345": "empty", "8346": "SK E&S", "8347": "empty", "8348": "empty", "8349": "\ud50c\ub7ec\uadf8\uc778\ud558\uc774\ube0c\ub9ac\ub4dc \ubc0f \uc804\uae30\ucc28", "8350": "\ub3d9\uc9c4\uae30\uacc4", "8351": "empty", "8352": "3\ub144\uc774\ud558 \uc9d5\uc5ed \ub610\ub294 3,000\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "8353": "10:00~10:05 \u25c6 [\uac1c\ud68c\uc0ac] \uc774\uc7ac\ud615 \uacf5\uc815\ubb34\uc5ed\ud559\ud68c\uc7a5", "8354": "\uae30\uacc4\uc18c\uc7ac", "8355": "\ub514\uc2a4\ud50c\ub808\uc774", "8356": "170\ub9cc\uba85", "8357": "empty", "8358": "empty", "8359": "135\uba85", "8360": "3\uac1c", "8361": "\ucd08\ubcf4\ub2e8\uacc4", "8362": "empty", "8363": "X&D", "8364": "\uc778\ub3c4", "8365": "\uc12c\uc720\uc81c\ud488", "8366": "empty", "8367": "3\ub144 \uc774\ub0b4", "8368": "\ubcf4\uc77c\ub7ec \u25e6\ubcf4\uc77c\ub7ec\ud6a8\uc728 89% \uc218\uc900 \u25e6\ubcf4\uc77c\ub7ec\ud6a8\uc728 95% \uc774\uc0c1 \uc804\ub3d9\uae30 \u25e6\uc804\ub3d9\uae30\ud6a8\uc728 85% \uc218\uc900", "8369": "\uc804\uc790\ubb34\uc5ed \uc804\ubb38\uac00 \uacfc\uc815", "8370": "\ucf58\ud150\uce20 \uac1c\ubc1c\uc5c5\uccb4\uac00 \ubd80\ub2f4", "8371": "10\uc5b5\uc6d0 \ub0b4\uc678/\ub144 3\ub144 \uc774\ub0b4", "8372": "22", "8373": "empty", "8374": "115\uc5b5\uc6d0 \uae30\uc220\uad50\uc721\ub300", "8375": "empty", "8376": "\ub3d9\ub0a8\uad8c", "8377": "empty", "8378": "empty", "8379": "7\uac1c", "8380": "\ube44\ud589\uc7a5, \uad70\uc0ac\uc2dc\uc124, \ub310 \ub4f1 \uc601\ud5a5\uc2dc\uc124\uc758 \uc874\uc7ac", "8381": "\uc778\ucc9c\ud56d\ub9cc\uacf5\uc0ac \ub2e8\uccb4 \uc9c0\uc18d\uac00\ub2a5\ubc1c\uc804\uc0c1 \uad11\uc8fc\uad11\uc5ed\uc2dc", "8382": "20\uba85", "8383": "empty", "8384": "empty", "8385": "empty", "8386": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "8387": "\ucc9c\uc548\uc0c1\ub85d\ud638\ud154 2\uce35\uc0c1\ub85d\ud640", "8388": "2010\ub144", "8389": "empty", "8390": "\ucd08\uc18c\ud615 \uc804\uae30\ucc28", "8391": "\uc11c\uac15\ub300", "8392": "empty", "8393": "\ud604\ub300\uc544\ud2b8\ubaa8\uc544", "8394": "\ucc38\uad00\uac1d \ub4f1\ub85d\uc815\ubcf4 \uc2dc\uc2a4\ud15c \uc124\uce58, \ubb34\uc5ed\uc804\uc2dc\ud68c \uc778\uc99d \uc2ec\uc0ac\ube44", "8395": "1,440\uac1c", "8396": "\ud50c\ub7ab\ud3fc \ube44\uc988\ub2c8\uc2a4", "8397": "\uc911\uc559\uc9d1\uc911\uc2dd \uc790\ub3d9\uc0b0\uc18c\uacf5\uae09\uc7a5\uce58", "8398": "10", "8399": "\uc9c0\uba74\uc778\uc1c4", "8400": "empty", "8401": "20.3", "8402": "\ubaa8\ubc14\uc77c \uc804\uc790\uace0\uc9c0", "8403": "\ubc14\uc774\uc624\ud5ec\uc2a4", "8404": "\ucd1d\ub9ac\uc2e4", "8405": "\ubbf8\uad6d", "8406": "empty", "8407": "\ud55c\uad6d\uc790\ub3d9\ucc28\uacf5\uc5c5\ud611\ub3d9\uc870\ud569", "8408": "empty", "8409": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "8410": "\uc778\ucc9c 200\uc5b5\uc6d0", "8411": "empty", "8412": "\uc804\uc8fc\uc644\uc0b0\uacbd\ucc30\uc11c", "8413": "empty", "8414": "empty", "8415": "empty", "8416": "\ud0dc\uc885 \uc528\uc564\uc544\uc774", "8417": "\ud55c\uc804\uc804\ub825\uacf5\uc0ac", "8418": "\ud5ec\uc2a4\ucee4\ub125\ud2b8", "8419": "\uc77c\ubc18", "8420": "empty", "8421": "empty", "8422": "empty", "8423": "empty", "8424": "empty", "8425": "1\uc5b5\uc6d0 \uc774\uc0c1 \ud55c\uad6d 13\ub9cc SDR \uc774\uc0c1", "8426": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "8427": "empty", "8428": "empty", "8429": "\ud55c\uad6d\uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "8430": "empty", "8431": "\uc2e0\uc2dc\uc7a5 \uac1c\ucc99 5", "8432": "\uc911\uc18c\uae30\uc5c5 \uc9c4\ud765\uc5d0 \uad00\ud55c \ubc95\ub960 \uc911\uae30\uccad", "8433": "empty", "8434": "\ub2f4\uc591\uad70\uccad", "8435": "10) \u25aa\uc0ac\uc5c5 \ubaa9\ud45c\uc758 \uba85\ud655\uc131, \ucd94\uc9c4\uc804\ub7b5\uc758 \uc815\ud569\uc131 10", "8436": "\uc9c0\uc5ed\uacbd\uc81c \uae30\uc5ec\ub3c4", "8437": "empty", "8438": "\uc2ec\ubd80\ud654 \ub4f1 \uc791\uc5c5\uc5ec\uac74 \uc545\ud654", "8439": "empty", "8440": "246", "8441": "13:00\uff5e15:00", "8442": "\ubbf8\uad6d", "8443": "\uc9c0\uc2dd\uacbd\uc81c R&D \uaddc\uc815 \uc0c1\ub2f4 \ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\ud3c9\uac00\uc6d0", "8444": "empty", "8445": "8\ud488\ubaa9", "8446": "empty", "8447": "\uc774\ub178\uc2dc\ubbac\ub808\uc774\uc158 \ub3c4\ub85c\uad50\ud1b5\uacf5\ub2e8 \uc2dc\u00b7\ub3c4\uc9c0\ubd80", "8448": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80\uc7a5\uad00", "8449": "24\uc2dc\uac04 \uc774\ub0b4", "8450": "20\ub144 \uc774\uc0c1 500L \uc774\uc0c1 5\ub144\ub9c8\ub2e4", "8451": "empty", "8452": "80\uc5b5\uc6d0", "8453": "empty", "8454": "452\uc5b5\uc6d0", "8455": "empty", "8456": "empty", "8457": "4,570\ucc9c\uba85 5,219\ucc9c\uba85", "8458": "empty", "8459": "empty", "8460": "\uc9d1\ub2e8 \uc5d0\ub108\uc9c0\uc6a9", "8461": "4.4%", "8462": "\uc0b0\ud559\uc5f0\uacc4\ud615 \uacfc\uc815", "8463": "empty", "8464": "empty", "8465": "\ud55c\uc218\uc6d0", "8466": "empty", "8467": "\uc77c\ubcf8", "8468": "\uae30\ucd08\uc778\ub825\uc591\uc131", "8469": "\uc7ac\ub798\uc2dd\ubb34\uae30", "8470": "empty", "8471": "empty", "8472": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc6d0", "8473": "\u2460\ud575\uc2ec \uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4 \uac1c\ubc1c \ubc0f \uad6d\uc0b0\ud654", "8474": "\ud540\ub79c\ub4dc", "8475": "empty", "8476": "\ud55c\uad6d\uc870\uba85\uc5f0\uad6c\uc6d0", "8477": "IP \ud65c\uc6a9", "8478": "empty", "8479": "54,151\ud300", "8480": "\ubd80\uc0b0\uc2dc\uccad", "8481": "150\uac1c\uc0ac", "8482": "empty", "8483": "204 56.8 \ud1b5\uc2e0", "8484": "empty", "8485": "\uc81c\uc8fc", "8486": "KOTRA", "8487": "empty", "8488": "empty", "8489": "\uc774\uc2a4\ub77c\uc5d8 \ubbf8\uad6d", "8490": "empty", "8491": "\uc790\ub3d9\ucc28 \ubd80\ud488 \ud488\uc9c8\uad00\ub9ac \uc2dc\uc2a4\ud15c", "8492": "empty", "8493": "empty", "8494": "empty", "8495": "\uc7ac\uacbd\ubd80\uc7a5\uad00", "8496": "\uc778\ucc9c\uacf5\ud56d", "8497": "\uc2b9\uc6a9\ucc28", "8498": "empty", "8499": "empty", "8500": "10\uc5c5\uccb4", "8501": "empty", "8502": "\uc778\ud130 \ubc14\uc774\uc624", "8503": "\uc790\ub3d9\ucc28 \ubc0f \ubd80\ud488 95.1 72.2 \uc591\uad6d \uacf5\ud788 \uc911\ub300\ud615\ucc28", "8504": "\ucf54\ub514\uc5d0\uc2a4", "8505": "empty", "8506": "empty", "8507": "\uc6a9\ub7c9\uc774 30t/h\ub97c \ucd08\uacfc\ud558\ub294 \ubcf4\uc77c\ub7ec", "8508": "\uad11\uc8fc\uad6d\uc81c\uc2e4\ubc84\ubc15\ub78c\ud68c \uae40\ub300\uc911\ucee8\ubca4\uc158\uc13c\ud130", "8509": "empty", "8510": "\uc790\ub3d9\ucc28", "8511": "empty", "8512": "empty", "8513": "\uc5d0\ub108\uc9c0\uc2dc\ubbfc\uc5f0\ub300", "8514": "empty", "8515": "empty", "8516": "7 (\uc8fc)\ud050\ube45\ud14c\ud06c", "8517": "empty", "8518": "10% \uc774\uc0c1", "8519": "\uc5d0\ub108\uc9c0", "8520": "empty", "8521": "empty", "8522": "\ube5b\uac00\ub78c\ub3d9 \uc77c\uc6d0", "8523": "25ha \ubbf8\ub9cc", "8524": "120\uba85", "8525": "GCC \uc13c\ud130", "8526": "\ubcf4\ud5d8\u2027\ubcf4\uc99d\ub8cc 50% \ud560\uc778", "8527": "\uba85\ud488\uc778\uc7ac\uc591\uc131 26", "8528": "92\uc5b5\uc6d0", "8529": "37.6", "8530": "344\uc5b5\uc6d0", "8531": "empty", "8532": "empty", "8533": "\uc5f0\uad6c \uc9c1\uc811 \uc218\ud589", "8534": "\u25aa\ud2b9\ubcc4\uc9c0\uc5ed\ub0b4 \uae30\uc5c5\uc5d0 \uc2e0\uc6a9\ubcf4\uc99d \uc9c0\uc6d0 \u2462\uad6d\uc138\u00b7\uc9c0\ubc29\uc138 \ub0a9\ubd80\uae30\ud55c \uc5f0\uc7a5", "8535": "\u2461\ud2c8\uc0c8\uc2dc\uc7a5 \uac1c\ucc99", "8536": "\ub2e4\uc591\ud55c \ubc29\uc1a1\u02d9\ud1b5\uc2e0 \uc11c\ube44\uc2a4 \ubc0f \ucf58\ud150\uce20\ub97c \ud1b5\ud569 \uc81c\uacf5\ud558\ub294 \uc14b\ud1b1\ubc15\uc2a4 \uc624\ud508 \ud50c\ub7ab\ud3fc \uac1c\ubc1c", "8537": "empty", "8538": "empty", "8539": "empty", "8540": "empty", "8541": "empty", "8542": "empty", "8543": "empty", "8544": "empty", "8545": "empty", "8546": "empty", "8547": "KIET \uad6d\uc81c\uae08\uc735\uc13c\ud130", "8548": "\uad6d\ud1a0\ubd80 \uad00\uc138\uccad \uc9c0\uacbd\ubd80", "8549": "empty", "8550": "\ud604\uc7a5 \uae30\uc220\uc9c0\uc6d0", "8551": "empty", "8552": "\uc9c0\uc790\uccb4\uc5f0\uad6c\uc18c\uc721\uc131\uc0ac\uc5c5 \uc9c0\uc5ed\ud2b9\uad6c", "8553": "\ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\ud3c9\uac00\uc6d0", "8554": "empty", "8555": "\uc9c0\uc5ed\uc5f0\uace0\uc0b0\uc5c5\uc721\uc131\uc0ac\uc5c5", "8556": "empty", "8557": "\ud5a5\ud1a0\uc0b0\uc5c5\uc721\uc131\uc0ac\uc5c5", "8558": "\uae30\uc7ac\ubd80", "8559": "196\uac1c\uc0ac 488\uac74", "8560": "\uc0b0\uc5c5\ubd80", "8561": "empty", "8562": "\ud504\ub9ac\ub4dc\ub9ac\ud788 \uc54c\ub809\uc0b0\ub354\ub300\ud559\uad50", "8563": "empty", "8564": "20\ubc31\ub9cc\uc6d0 \ub0b4\uc678", "8565": "\ub514\uc2a4\ud50c\ub808\uc774", "8566": "empty", "8567": "empty", "8568": "empty", "8569": "\ub179\uc0c9\uc0dd\ud65c\uccb4\ud5d8\uad00", "8570": "empty", "8571": "empty", "8572": "\uc0c1\uacc4\uad00\uc138 \ubcf4\uc870\uae08 \uc9c0\uae09", "8573": "empty", "8574": "20301", "8575": "60\uac1c\uc0ac", "8576": "empty", "8577": "empty", "8578": "11\uac74", "8579": "\uc11c\uc6b8\ub300", "8580": "empty", "8581": "empty", "8582": "4\uac1c", "8583": "\uc815\uc720\uc5c5\uacc4 \ud0c4\uc18c\uc911\ub9bd \uae30\uc220\uac1c\ubc1c \ub85c\ub4dc\ub9f5 \ucd94\uc9c4\ubc29\ud5a5 \ubaa8\uc0c9", "8584": "empty", "8585": "empty", "8586": "\ucda9\ub0a8\ub300", "8587": "\ub124\uc624\ubdf0\ucf54\uc624\ub871\u321c", "8588": "empty", "8589": "\uacbd\uc601 \ubc0f \uc870\uc9c1\uad00\ub9ac 6\uac1c", "8590": "empty", "8591": "2\ub144", "8592": "empty", "8593": "\uc548\uc0b0\ub3c4\uc2dc\uac1c\ubc1c", "8594": "empty", "8595": "empty", "8596": "\ucf54\ub4dc\ubd84\ud560\ubc29\uc2dd\uc744 \uae30\ubcf8\uc73c\ub85c \ud558\uc5ec \uac1c\ubc1c\ud55c \uad6d\ub0b4\uace0\uc720\uc758 \uaddc\uaca9\uc784", "8597": "empty", "8598": "empty", "8599": "empty", "8600": "empty", "8601": "48.4 (0.9) 51.6", "8602": "empty", "8603": "empty", "8604": "\uc758\uc57d\ud488PL\uc13c\ud130 \uc758\uc57d\ud488 (\uc7ac)\uc77c\ubcf8\uc81c\uc57d\ub2e8\uccb4\uc5f0\ud569\ud68c", "8605": "\uc8fc\uc2dd\ud68c\uc0ac \uc5d0\uc2a4\uc5d0\uc774\uce58\uae00\ub85c\ubc8c", "8606": "empty", "8607": "50\ub144 \uc774\uc0c1", "8608": "4,000\ub9cc\uc6d0", "8609": "empty", "8610": "empty", "8611": "\ubc1c\uc804\uc18c\uc6a9 \ub799\ud0c0\uc785 \uc790\ub3d9\uc808\uccb4 \ub4c0\uc5bc \uc628\ub3c4 \uc804\uc1a1\uae30", "8612": "\uc5f0\ub8cc\uc804\uc9c0\uc6a9 LNG \uc694\uae08\uc81c \uc2e0\uc124 \ubc1c\uc804\ucc28\uc561\uc9c0\uc6d0\uc81c\ub3c4\ub97c \uae30\uc900\uac00\uaca9\uccb4\uacc4\ub85c \uac1c\uc120", "8613": "\uc2dc\uc2a4\ud15c SW\uac1c\ubc1c\uacf5\uae09\uc5c5", "8614": "\uac1c\ub3c4\uad6d\u00b7\ucd5c\ube48\uac1c\ub3c4\uad6d\uc5d0 \ub300\ud574 \ud2b9\ubcc4 \ubc0f \ucc28\ub4f1 \ub300\uc6b0 \uc81c\uacf5", "8615": "17:40", "8616": "\uce5c\ud658\uacbd\ucc28 \uc548\uc804\uc131\ud3c9\uac00 \ubc0f \uc778\uc99d \uad00\ub828 \ubc95\ub839 \uac1c\uc815", "8617": "\ucd5c\uc885 \uaddc\uc815", "8618": "empty", "8619": "\u321c\ub098\ub178\ud558\uc774\ud14c\ud06c", "8620": "12,468\uba85 1,529\uba85", "8621": "empty", "8622": "\uc0b0\uc5c5\ubd80 \uc7a5\uad00", "8623": "1,290\ub9cc", "8624": "\ubc14\uc774\uc624 \ud5ec\uc2a4", "8625": "empty", "8626": "\uc774\ub9c8\ud2b8", "8627": "empty", "8628": "empty", "8629": "55 10", "8630": "empty", "8631": "326\uc5b5\ubd88", "8632": "\ub3c5\uc77c\uad00, \ub300\ub9cc\uad00, \uc778\ub3c4\uad00, \uc774\ud0c8\ub9ac\uc544\uad00 \ub4f1 8\uac1c\uad00", "8633": "1\ucc28\uc2ec\uc0ac", "8634": "empty", "8635": "\uadf8\ub9b0\uc81c\ud488 (\uc8fc)\ub2e4\ub798\uc6d4\ub4dc", "8636": "empty", "8637": "1,450\ub9cc \uac00\uad6c", "8638": "\ub3cc\ube7c\uae30 44 nan \uacbd\ubd81 \uad6c\ubbf8\uc2dc \ubb38\ud654\uc7ac", "8639": "10% \uc774\uc0c1 \ub300\uae30\uc5c5 \ub2e8\ub3c5/\uacf5\ub3d9\uac1c\ubc1c 1/2 \uc774\ub0b4", "8640": "57.9\uc5b5\ubd88", "8641": "R&D \ucc28\uc138\ub300 \ubc29\uc1a1\ud1b5\uc2e0 \ub124\ud2b8\uc6cc\ud06c \uc6d0\ucc9c\uae30\uc220 \ud655\ubcf4", "8642": "\uae30\uc5c5\uc740\ud589", "8643": "\uc9c0\uacbd\ubd80 \uc804\ub7b5\ubb3c\uc790\uad00\ub9ac\uacfc", "8644": "empty", "8645": "\u321c\uc5d0\uc2a4\uc5d0\uc774\uc528", "8646": "empty", "8647": "\ubc18\ub3c4\uccb4 \uacf5\uc815\uc6a9 \ubd88\ud654\uc218\uc18c \ud45c\uc900\uac00\uc2a4 \uac1c\ubc1c \ubc0f \ubcf4\uae09", "8648": "LG\ub514\uc2a4\ud50c\ub808\uc774", "8649": "empty", "8650": "empty", "8651": "30\ub098\ub178 \uc774\ud558\uae09 \ub0b8\ub4dc\ud50c\ub798\uc2dc\uc5d0 \ud574\ub2f9\ub418\ub294 \uacf5\uc815\uae30\uc220 - 2017", "8652": "empty", "8653": "\uc720\uad00\uae30\uad00 (5) \uae30\uc5c5\uc740\ud589 \uc740\ud589\uc7a5", "8654": "16\uac74 8\uac74", "8655": "empty", "8656": "\uc720\uae30\ub18d \uc81c\ud488", "8657": "empty", "8658": "empty", "8659": "empty", "8660": "\uba85\uc778\ud640", "8661": "20.0% \uc774\uc0c1", "8662": "empty", "8663": "- B2B \uc120\uc9c4\uc0ac\ub840 \ubca4\uce58\ub9c8\ud0b9", "8664": "\uc804\uae30\u00b7\uc790\uc728\uc8fc\ud589\ucc28", "8665": "empty", "8666": "empty", "8667": "empty", "8668": "\ud0dc\uc591\uad11 \ucd1d 33MW", "8669": "5\uc810", "8670": "10.0% \uc774\uc0c1", "8671": "empty", "8672": "empty", "8673": "empty", "8674": "empty", "8675": "empty", "8676": "\ub300\uc804\ucee8\ubca4\uc158\uc13c\ud130", "8677": "17-18\uc77c", "8678": "empty", "8679": "2012.12.11 ~ 12.26", "8680": "empty", "8681": "16\uae30", "8682": "\uc544\ud504\ub9ac\uce74", "8683": "\uad00\uc138\uccad \ubb34\uc5ed\u00b7\ud22c\uc790 \uc720\uad00\uae30\uad00 \ubb34\uc5ed\ud611\ud68c", "8684": "empty", "8685": "\ubb38\uccb4\ubd80 \u00b7 \uc0b0\uc5c5\ub2e8\uc9c0 \ubb38\ud654\uc7ac\uc0dd\uc0ac\uc5c5 - \uad50\uc721\ubd80 \u00b7 \uc0b0\uc5c5\ub2e8\uc9c0 \ucea0\ud37c\uc2a4", "8686": "\uc11c\uc6b8 \uad6c\ub85c", "8687": "empty", "8688": "\uae40\uc815\ub85d \uad6d\ud68c\uc758\uc6d0", "8689": "\ud589\uc548\ubd80", "8690": "empty", "8691": "\uad6d\uc81c\uacf5\uc815\ubb34\uc5ed\ud559\ud68c \ud68c\uc7a5\uc0c1", "8692": "4\uc8707,815\uc5b5\uc6d0", "8693": "1,000\ub9cc\uc6d0 USD 10,000 \uc815\uaddc \uae08\uc0c1 1\ud300", "8694": "empty", "8695": "empty", "8696": "empty", "8697": "8\uc5b5\uc6d0", "8698": "empty", "8699": "\ucf54\ub9ac\uc544 \uc778\uc2a4\ud2b8\ub8e8\uba3c\ud2b8 \ubd80\uc0ac\uc7a5", "8700": "LG\uc0dd\ud65c\uac74\uac15", "8701": "6", "8702": "\uc232\uc18d\uc758 \uace4\ucda9 \ub180\uc774\ud130", "8703": "empty", "8704": "\ud55c\uad6d\uce21 \ud0dc\uad6d\uce21 1 \uc5d0\ub108\uc9c0 \uae30\uc220 \ud611\ub825 \uc591\ud574\uac01\uc11c", "8705": "empty", "8706": "\uc774\uc2e0\uc7ac", "8707": "\uc2e0\uc601\uc77c", "8708": "300 mg/kg \uc774\ud558", "8709": "empty", "8710": "empty", "8711": "empty", "8712": "empty", "8713": "10\ub144 \uc774\ub0b4", "8714": "empty", "8715": "295\uc5b5\uc6d0", "8716": "empty", "8717": "20", "8718": "\uc870\uc911\uba85", "8719": "10\ucd08\uac04 \ucc28\uc774\ub294 \ud53c\ud06c\uc2dc \ucd5c\ub300 100\ub9cc\u33be", "8720": "56.8\ub9cckW", "8721": "empty", "8722": "\uc2a4\uce94\ub77c\uc778(\uc601\uc0c1 \ud2b9\uc218\ud6a8\uacfc(VR)), \ud50c\ub798\ud2f0\ub11821", "8723": "\ucd1d\ub9ac\uc2e4", "8724": "21,362\ucc9c\uba85 3,013.4\ucc9c\uac1c", "8725": "\ub300\uad6c \ub3d9\uad6c", "8726": "empty", "8727": "\ud558\uc774\ube0c\ub9ac\ub4dc PCS", "8728": "\uc774\uc2a4\ud33b \uc0b0\uc5c5\uc8fc\uc2dd\ud68c\uc0ac 2.1 \ud55c\ub77c\uc0b0\uc5c5\uac1c\ubc1c", "8729": "10\uac1c", "8730": "\uc11d\u00b7\ubc15\uc0ac \uacfc\uc815 \ub300\ud559\uc6d0\uc0dd", "8731": "\uc5f0\uad6c\uae30\ud68d", "8732": "empty", "8733": "GPS\ucc45\uac00\ubc29", "8734": "empty", "8735": "12.20 \uc0b0\uc5c5\ud53c\ud574\uc870\uc0ac\uacfc", "8736": "\uc0b0\uc790\ubd80", "8737": "241\uac1c 46\uac1c\uad6d 1978\ub144 \ud638\uc8fc\uadf8\ub8f9(AG) \uc0dd\ud654\ud559\ubb34\uae30", "8738": "empty", "8739": "\uce60\ub808", "8740": "empty", "8741": "empty", "8742": "40", "8743": "\ud55c\uad6d\uad6d\uc81c\uc5f0\uad6c\ubd84\uc11d\uc2e4\ud5d8\uae30\uae30\uc804\uc2dc\ud68c", "8744": "\ucda9\ubd81, \uc81c\uc8fc", "8745": "empty", "8746": "14\uc810", "8747": "\ud55c\uad6d\uc6d0\uc790\ub825\uc5f0\uad6c\uc6d0", "8748": "empty", "8749": "empty", "8750": "\ud55c\uad6d\ucc9c\ubb38\uc5f0\uad6c\uc6d0", "8751": "\uc0c1\ud488\ubb34\uc5ed\uc704\uc6d0\ud68c", "8752": "2014\ub144 \ub9d0 2016\ub144 \ud558 2016\ub144 \ub9d0", "8753": "\ud734\ub300\ud3f0\uc758 \ucd9c\uace0\uac00\uaca9\uc744 \ud45c\uc2dc\ud558\ub294 \uacbd\uc6b0", "8754": "empty", "8755": "\uc7a5\uc218\uad70 \uacbd\ucc30\uc11c", "8756": "empty", "8757": "\uc5f0\uc138\ub300\ud559\uad50 \uc870\ud615\ud76c \uad50\uc218", "8758": "\uc800\ud0c4\uc18c\uc0b0\uc5c5\uae30\uae30", "8759": "56.6%", "8760": "\uc138\ubbf8\uc131", "8761": "empty", "8762": "empty", "8763": "\uc804\ubd81 \uc775\uc0b0\uc2dc \uc775\uc0b0 \uad6d\uac00\uc0b0\uc5c5\ub2e8\uc9c0 223", "8764": "\ud55c\uad6d\uae30\uacc4\uc5f0\uad6c\uc6d0", "8765": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765\uc6d0", "8766": "empty", "8767": "5\ud68c", "8768": "empty", "8769": "\uc11c\uc6b8\uac00\uc6d0\ucd08", "8770": "empty", "8771": "empty", "8772": "\uc548\uc0b0\uacf5\uc5c5\uace0", "8773": "\uc9c0\uacbd\ubd80", "8774": "5\ubc31\ub9cc\ubd88\ub85c \uc644\ud654", "8775": "\ud06c\ub9ac\ub108\uc6a9 \uc12c\uc720\uc18c\uc7ac", "8776": "\uad6d\ud1a0\uc5f0 \uc5d0\ub108\uc9c0\uad00\ub9ac\uacf5\ub2e8", "8777": "empty", "8778": "EXCO-Daegu", "8779": "empty", "8780": "empty", "8781": "\uc81c\ub2c8\ud5e4\ub098", "8782": "KOTRA", "8783": "empty", "8784": "empty", "8785": "\ube44\uc790\uce74\ub4dc", "8786": "1\uac1c", "8787": "13.67%", "8788": "\ud50c\ub808\uc26c \uba54\ubaa8\ub9ac \ub514\uc2a4\ud06c", "8789": "4.0", "8790": "empty", "8791": "176\uc5b5", "8792": "empty", "8793": "empty", "8794": "empty", "8795": "42.1 (\u25b34.8) 47.1", "8796": "empty", "8797": "1", "8798": "ET", "8799": "1,346\ucc99", "8800": "30.7 \uc5b5\ubd88", "8801": "5,170\uc5b5\uc6d0", "8802": "empty", "8803": "30", "8804": "CJ\ud478\ub4dc\ube4c", "8805": "240\uc5b5\uc6d0", "8806": "empty", "8807": "20\uc5b5\uc6d0 \ub0b4\uc678", "8808": "empty", "8809": "28\ubd80\uc2a4", "8810": "\uc11d\uc720\uacf5\uc0ac", "8811": "empty", "8812": "250\uc5b5\uc6d0 \ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\ud3c9\uac00\uc6d0", "8813": "\ud55c\uad6d\ud1a0\uc9c0 \uc8fc\ud0dd\uacf5\uc0ac", "8814": "1\ub144 \uc774\ub0b4", "8815": "\ubc29\uc1a1\ud1b5\uc2e0 \uc735\ud569", "8816": "\ub0c9\ub9e4\uc555\ucd95\uae30\uc6a9 SHUT-OFF \ubc38\ube0c", "8817": "2.01", "8818": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "8819": "\u7f8e \uc544\ub9c8\uc874", "8820": "empty", "8821": "empty", "8822": "empty", "8823": "empty", "8824": "\uc778\ub3c4\ub124\uc2dc\uc544", "8825": "empty", "8826": "empty", "8827": "empty", "8828": "\uc758\uc2dd\ud601\uc2e0 \ubc0f \uc870\uc9c1\ud65c\uc131\ud654", "8829": "TV, \uc2a4\ud53c\ucee4, \ub0c9\uc7a5\uace0, \uc138\ud0c1\uae30, \uc5d0\uc5b4\ucee8, \uc870\uba85\uae30\uae30 \ub4f1 \uc790\ub3d9\ucc28\ubd80\ud488", "8830": "empty", "8831": "empty", "8832": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "8833": "empty", "8834": "\ucf54\uc5d1\uc2a4 B\ud640", "8835": "empty", "8836": "\ubd88\uac00\ub2a5", "8837": "empty", "8838": "400\u33a1", "8839": "1989\ub144", "8840": "empty", "8841": "empty", "8842": "empty", "8843": "0.33 kW", "8844": "51\uc5b5 RMB", "8845": "empty", "8846": "\uac1c\ubc1c(4) -\uc6b0\uc988\ubca1) \uc218\ub974\uae38 \uac00\uc2a4\uc804", "8847": "empty", "8848": "empty", "8849": "28,624\ubc31\ub9cc\uc6d0", "8850": "\uc911\uc9c4\uacf5", "8851": "2,890\uc5b5\uc6d0", "8852": "20%", "8853": "empty", "8854": "\ub3d9\uad6d\ub300\ud559\uad50", "8855": "\uc9d1\ub2e8\uc5d0\ub108\uc9c0\uacf5\uae09\uae30\ubcf8\uacc4\ud68d", "8856": "28\ucc9c\uba85", "8857": "empty", "8858": "41%", "8859": "empty", "8860": "\uc6d0\uac00\uc808\uac10 \u00b7\uc62c\ub808\ud540\uc804\ud658\uae30\uc220", "8861": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790 \uae30\uc220\uac1c\ubc1c", "8862": "\uc591\uc790\uacf5\ub3d9\ud380\ub529R&D (\uc778\ub3c4) ~ 2021\ub144 4\uc6d4 26\uc77c", "8863": "\uc601\uad6c\uc790\uc11d", "8864": "\uac00\uc804\uc81c\ud488 \uc5d0\ub108\uc9c0\ub77c\ubca8\ub9c1 \uaddc\uc81c", "8865": "empty", "8866": "2\uc5b5\uc6d0 \uc774\ub0b4/\ub144 3\ub144 \uc774\ub0b4", "8867": "\ucc3d\uc6d0", "8868": "\ubc29\uc704\uc0ac\uc5c5\uccad", "8869": "3\ub144 \uc774\ub0b4", "8870": "\ud0dc\uc591\uad11 \uc124\ube44\ub97c \uac00\uc815\uc5d0 \ube4c\ub824\uc8fc\uace0, \uc904\uc5b4\ub4e0 \uc804\uae30\uc694\uae08 \ub4f1\uc744 \ud1b5\ud574 \uc218\uc775 \ucc3d\ucd9c", "8871": "\ub178\ub7c9\uc9c4\uc218\uc0b0, \ubd80\uc0b0\uacf5\ub3d9\uc5b4\uc2dc\uc7a5, \ubc18\ub3c4\uc218\uc0b0, \ub4f1 \ucd1d 11\uac1c", "8872": "\ud55c\uad6d\uc804\uae30\uc548\uc804\uacf5\uc0ac \uacbd\uae30\uc9c0\uc5ed\ubcf8\ubd80\uc7a5", "8873": "5,000\uac1c", "8874": "\uc2dc\uba58\ud2b8", "8875": "empty", "8876": "\ucc3d\uc870\ud589\uc815\ub2f4\ub2f9\uad00", "8877": "empty", "8878": "\uacbd\ub9e4\ub85c \uacb0\uc815", "8879": "empty", "8880": "STX\uc5d4\uc9c4\uc8fc\uc2dd\ud68c\uc0ac", "8881": "\uae30\ud68d\ubcf8\ubd80\uc7a5 14:40\uff5e15:20", "8882": "\uad50\ud1b5\ubd80", "8883": "empty", "8884": "6\uac1c \uad11\uc5ed\uad8c\uc758 \uc8fc\uc694 \uc0b0\uc5c5\ub2e8\uc9c0", "8885": "\uc81c8\uad11\uad6c", "8886": "empty", "8887": "3\ub144\uac04 \ucd1d\uc0ac\uc5c5\ube44 30\uc5b5\uc6d0", "8888": "\ubb38\uc790 \uc785\ub825\uae30\ud638\ud658\uc131 \ud655\ubcf4", "8889": "820\ub9ccB", "8890": "empty", "8891": "empty", "8892": "empty", "8893": "20.2 (\u25b31.8) 19.6", "8894": "28.9", "8895": "\uae08\uc735\uc704, \ud574\uc218\ubd80", "8896": "empty", "8897": "\uc704\uce58\uac80\ucd9c\uc7a5\uc9c0", "8898": "empty", "8899": "1\ud300", "8900": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "8901": "empty", "8902": "empty", "8903": "1\uc5b5 5\ucc9c\ub9cc\uc6d0 \uc774\ub0b4", "8904": "\ud604\ub300", "8905": "empty", "8906": "empty", "8907": "\ubc18\ubd80\ud328", "8908": "370,932", "8909": "\uc591\uc7ac\ub3d9 AT\uc13c\ud130", "8910": "empty", "8911": "empty", "8912": "10\uac1c\uc0ac", "8913": "13\ub144 \uc5f0\uc18d \uc5c5\uacc4 1\uc704", "8914": "1,700\uc5b5\uc6d0 320\uc5b5\uc6d0", "8915": "\uc815\ubcf4\ud1b5\uc2e0(3) mmWave \uae30\ubc18 5G \uc774\ub3d9\ubb34\uc120\ubc31\ud640 \ud575\uc2ec \uc124\uacc4 \uae30\uc220", "8916": "\ud55c\uad6d\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "8917": "\uc758\ub8cc\ube44", "8918": "\ub300\ud1b5\ub839\ud45c\ucc3d(1) (\uc8fc)\uc5d0\ub545 \ub2e8\uccb4\uc0c1", "8919": "69.7\uc5b5\ubd88", "8920": "empty", "8921": "empty", "8922": "\ucd5c\ub300\uaddc (\uacbd\uae30 \uc218\uc6d0) \uc790\ub3d9\ud654\ubd80\ud488\uacfc", "8923": "empty", "8924": "\u321c\ub514\uc790\uc778\uc2a4\ud0a8", "8925": "\ud130\ud0a4", "8926": "\ub3d9\ubc29\ub300\ud559\uad50 1\uba85", "8927": "\uc81c\ub8e1\uc0b0\uc5c5", "8928": "empty", "8929": "\ubc30\ud130\ub9ac \uc7a5\ucc29 \ud734\ub300\uae30\uae30\uc5d0 \ub300\ud55c \uc548\uc804\uc131 \uc810\uac80 \ud544\uc694", "8930": "\ubcf4\uac74\ubcf5\uc9c0\uac00\uc871\ubd80", "8931": "\ubc29\uae00\ub77c\ub370\uc2dc", "8932": "\ucda9\ub0a8\ub300\ud559\uad50", "8933": "\uace0\uc591\uc9c0\uc2dd\uc815\ubcf4\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "8934": "empty", "8935": "\uc758\uc57d \ubc14\uc774\uc624", "8936": "empty", "8937": "\ub0a8\ub3d9\uc778\ub354\uc2a4\ud30c\ud06c \uccad\uc815\uc9c0\uc2dd\uc0b0\uc5c5\uc13c\ud130", "8938": "empty", "8939": "empty", "8940": "\uc0d8\uc528\uc5d4\uc5d0\uc2a4", "8941": "\uac15\uc6d0\ub300\ud559\uad50", "8942": "\uc140\ud2b8\ub9ac\uc628", "8943": "empty", "8944": "empty", "8945": "\uc790\uc18c\uc11c \uc81c\ucd9c\uc6a9 \uc790\uc5f0\uc2a4\ub7ec\uc6b4 \uc99d\uba85\uc0ac\uc9c4 \ucd2c\uc601", "8946": "2D \ud3c9\uba74 \uc124\uacc4\ub370\uc774\ud130\ub97c \uc870\ud569\ud558\uc5ec \uac00\uc0c1 3D \ud615\uc0c1\ubb3c\uc744 \uad6c\ud604", "8947": "empty", "8948": "empty", "8949": "10:00\u223c11:30 G\uc778\ud130\ucf58", "8950": "empty", "8951": "empty", "8952": "\ubcf8\ubd80\ub3d9 \ubca4\ucc98\ub3d9", "8953": "\uc815\ubd80 100\uc5b5\uc6d0", "8954": "51.2%", "8955": "\ud6c4\uc9c0\ucbd4", "8956": "empty", "8957": "empty", "8958": "\ud6c4\ud5ec\uc2a4\ucf00\uc5b4", "8959": "empty", "8960": "\uac74\uc2dd \uc5f0\uc2e0\uacf5\uc815\uc744 \uc774\uc6a9\ud55c \uace0\ubd84\uc790 \ubd84\ub9ac\ub9c9 \uc81c\uc870\uae30\uc220", "8961": "1", "8962": "empty", "8963": "85%", "8964": "\ubcf4\ud5d8\uc0ac \ubcf4\ud5d8\uc0ac \u00b7\uae0d\uc815\uc801 \u00b7\ubcf4\ud5d8\uc0ac\uc758 \uac74\uac15\uad00\ub9ac \uc11c\ube44\uc2a4 \uc81c\uacf5 \ud5c8\uc6a9", "8965": "empty", "8966": "50% \uc774\ub0b4", "8967": "8\uba85", "8968": "\uc5d0\ub108\uc9c0\uad00\ub9ac\uacf5\ub2e8", "8969": "\uc778\uad8c", "8970": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "8971": "\uc6b8\uc0b0\ub300", "8972": "empty", "8973": "empty", "8974": "\uacbd\uae30\ubcf8\ubd80 \ucd94\uc9c4\ub2e8", "8975": "272\uac74", "8976": "5\uac1c\uad6d 12\uba85", "8977": "NIPA \uc815\ucc45\uae30\ud68d\ub2e8\uc7a5", "8978": "\uae40\ubcd1\ud604", "8979": "\uc13c\ud140\ud638\ud154", "8980": "3\ub144 \uc774\ub0b4", "8981": "empty", "8982": "6\uc6d4 12 \uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "8983": "empty", "8984": "empty", "8985": "empty", "8986": "\uc0b0\uc5c5\uc790\uc6d0\ubd80, KBS", "8987": "\uad11\uc5ed\uacbd\uc81c\uad8c \uac70\uc810\uae30\uad00 \uc9c0\uc6d0\uc0ac\uc5c5 \uc0b0\uc5c5\ubd84\uc57c\ubcc4 \ucee8\ud37c\ub7f0\uc2a4", "8988": "\uc790\uc5f0\uacfc\uc0ac\ub78c\ub4e4", "8989": "\ub530\ub978 \ubbfc\uc6d0\ud589\uc815 \uc885\ud569\uc548\ub0b4", "8990": "empty", "8991": "10% \ub3c4\uc804\uc131\u00b7\ucc3d\uc758\uc131 50%", "8992": "\uc790\ub3d9\ucc28 \uacf5\ud68c\uc804 \uc81c\ud55c \uc870\ub840 \uc81c\uc815 37\ucc9c toe(11\uc5b5\uc6d0) \uacbd\uc0c1\ub0a8\ub3c4", "8993": "empty", "8994": "\uad6d\ubbfc\uc548\uc804 \ub85c\ubd07", "8995": "\ucca0\uac15", "8996": "empty", "8997": "empty", "8998": "empty", "8999": "19.0", "9000": "empty", "9001": "\uc81c\uc870\uc5c5 \uc5ed\ub7c9 \uac15\ud654 \uc9c0\uc6d0", "9002": "empty", "9003": "\uc804\uae30\u00b7\uc804\uc790 (13) (\uc8fc)\uc720\ub2c8\ub77d", "9004": "empty", "9005": "empty", "9006": "\uc0bc\uc131\uc804\uc790", "9007": "empty", "9008": "3\uc5b5\uc6d0", "9009": "186\u33a1", "9010": "empty", "9011": "250\ub9cc\uc6d0 \uc774\ub0b4", "9012": "\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "9013": "empty", "9014": "\ucfe0\ucfe0\uc804\uc790", "9015": "empty", "9016": "empty", "9017": "empty", "9018": "\ub86f\ub370\uc1fc\ud551\u321c \ub86f\ub370\ub9c8\ud2b8", "9019": "1\ud68c \uc774\uc0c1 \uae30\ud68c\uc81c\uacf5\ud1a0\ub85d \uba85\uc2dc", "9020": "empty", "9021": "\ucf58\ud150\uce20 \uac1c\ubc1c\uc5c5\uccb4\uac00 \ubd80\ub2f4", "9022": "\uc2e0\uc6d4\uc131 1046", "9023": "\ud604\ub300\uc790\ub3d9\ucc28", "9024": "empty", "9025": "\ub514\uc9c0\ud138\ub370\uc774", "9026": "empty", "9027": "3,000\ud1a4/\uc77c", "9028": "20\ub144", "9029": "\ub300\uc804 \ucee8\ubca4\uc158\uc13c\ud130", "9030": "5\ubd84", "9031": "\uc870\uc120 \uac1c\ubc1c 4\ub144", "9032": "\ud55c\uad6d\uc815\ubcf4\uc778\uc99d 2009.10 \uc5d0\uc2a4\uc9c0\ub2e4\ud050", "9033": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "9034": "\uad6d\ud1a0\uad50\ud1b5 \uc548\uc804\uae30\uc220 \uc0ac\uc5c5\ud654", "9035": "empty", "9036": "empty", "9037": "empty", "9038": "9\uac1c", "9039": "7.25", "9040": "\uc11c\uc6b8 \ub3d9\ub300\ubb38\uad6c", "9041": "empty", "9042": "empty", "9043": "\ucd08\ub4f1\ubd80", "9044": "SK\ud50c\ub798\ub2db", "9045": "empty", "9046": "empty", "9047": "empty", "9048": "empty", "9049": "empty", "9050": "empty", "9051": "\uc0b0\uc5c5\ud604\uc7a5 \ud575\uc2ec\uae30\uc220 \uc218\uc2dc\uac1c\ubc1c", "9052": "empty", "9053": "\ub2e8\uccb4\uc0c1", "9054": "empty", "9055": "\ub124\ud2b8\uc6cd\ubcf4\uc548", "9056": "1\uc804\uc2dc\uc7a5 1\ud640", "9057": "30.6%", "9058": "\ub18d\uc0b0\ubb3c", "9059": "30\ub144", "9060": "empty", "9061": "\uc5f4\uc190\uc0c1 \uc5c6\ub294 \ucc28\uc138\ub300 \uc804\uc9c0", "9062": "25,067\ubc31\ub9cc\ubd88 \u2192 25,106\ubc31\ub9cc\ubd88", "9063": "34\uac1c\uad6d 165\uba85", "9064": "7.3% \uc774\ubbf8\uc9c0 \uc13c\uc11c CCD", "9065": "1827 KOTRA", "9066": "\ud574\uc591\ud50c\ub79c\ud2b8\uc6a9 \uc720\uc815\uc81c\uc5b4\ub97c \uc704\ud55c \uace0\uc555 Diverter \uac1c\ubc1c", "9067": "empty", "9068": "empty", "9069": "24", "9070": "empty", "9071": "\uc9c0\uacbd\ubd80", "9072": "\ud574\uc591 \uad00\uad11", "9073": "5\ub9cc \ub0b4\uc678", "9074": "empty", "9075": "empty", "9076": "1 3,000\ub9cc\uc6d0", "9077": "empty", "9078": "empty", "9079": "empty", "9080": "empty", "9081": "empty", "9082": "\uce5c\ud658\uacbd \uc790\ub3d9\ucc28", "9083": "\ubcbd\uc9c4BIO\ud14d", "9084": "\ucc3d\uc6d0", "9085": "\uba54\uc774\ud50c\ub8f8", "9086": "\uae30\uc5c5\uc758 FTA \ud65c\uc6a9 \uc2e4\ubb34 \uc124\uba85 \ub300\ud55c\uc0c1\uacf5\ud68c\uc758\uc18c \uacfc\uc7a5", "9087": "\uac00\uaca9\uacbd\uc7c1\ub825", "9088": "empty", "9089": "\uc0bc\uc131\uc11c\uc6b8\ubcd1\uc6d0", "9090": "empty", "9091": "-\ub514\uc790\uc778 \uc804\ubb38\uc778\ub825 10\uba85\uc774\uc0c1", "9092": "empty", "9093": "empty", "9094": "\ucd95\uc5f4\uc2dd \ud654\ud559\uac00\uc2a4 \uc5f0\uc18c\uc7a5\uce58", "9095": "LCD \ud718\uc810 \ub9ac\ud398\uc5b4 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9096": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "9097": "\uc790\ubcf8\uc7ac\uc0b0\uc5c5\uad6d", "9098": "empty", "9099": "empty", "9100": "\ub9ac\ucf5c(Recall)\uc81c\ub3c4", "9101": "\ub514\uc790\uc778 \uacf5\uac10 \ub514\uc790\uc778\uc640\uae00\uc640\uae00", "9102": "\uac74\uc124\uc0b0\uc5c5", "9103": "empty", "9104": "50% \uc774\uc0c1", "9105": "\ub3c5\uc77c DHL", "9106": "\uc544\uc138\ud1a0\ub2c8\ud2b8\ub9b4", "9107": "\ub86f\ub370\ud0dd\ubc30", "9108": "\uc77c\ubcf8", "9109": "empty", "9110": "empty", "9111": "empty", "9112": "empty", "9113": "\uc911\uad6d \uba54\uc774\uc800 \ubbf8\uc6a9 \ud504\ub79c\ucc28\uc774\uc988", "9114": "empty", "9115": "empty", "9116": "empty", "9117": "\ud2b9\ud5c8\uccad \uccad\uc7a5", "9118": "KOTRA", "9119": "\uc608\uc885\ud0dc \ub300\ud45c", "9120": "empty", "9121": "8.18% \uac10\uc18c", "9122": "empty", "9123": "5\ub144", "9124": "empty", "9125": "KOTRA", "9126": "\uc11c\uc6b8\ub300\ud559\uad50", "9127": "empty", "9128": "\ube14\ub8e8\uc5d4\uc774", "9129": "\uc0bc\uc131\ubb3c\uc0b0(\uc8fc)\uac74\uc124\ubd80\ubb38", "9130": "4.3 \uc804\uc790\uc0b0\uc5c5\uacfc", "9131": "2004 2005 2005 2007 \uac00\uc911\uce58 65%", "9132": "\ud3ec\ud56d\uc0b0\uc5c5\uacfc\ud559\uc5f0\uad6c\uc6d0", "9133": "\ud604\ub300\ubaa8\ube44\uc2a4", "9134": "empty", "9135": "\uc911\uae30\uccad", "9136": "empty", "9137": "\uc0b0\uc5c5\ubd80", "9138": "empty", "9139": "empty", "9140": "4\uc5b5\uc6d0 \ub0b4\uc678 \uc5f0\uac04 15\uc5b5\uc6d0 \ub0b4\uc678", "9141": "empty", "9142": "\ucc28\ub7c9\uac00\uaca9\uc5d0 \ub530\ub77c \ucd5c\ub300 200\ub9cc\uc6d0 \uac10\uba74", "9143": "39,267\uac74", "9144": "100\ub9cckW", "9145": "empty", "9146": "20%", "9147": "empty", "9148": "500\ub9cc\uc6d0", "9149": "2\ub144", "9150": "\uc804\ubd81 \uad70\uc0b0 \uc870\uc120\ud611\ub825\uc0ac", "9151": "empty", "9152": "14.4\uc870\uc6d0", "9153": "empty", "9154": "4\ucc28 \uc0b0\uc5c5\ud601\uba85 \uae30\uc220\ud611\ub825 MOU", "9155": "50%", "9156": "\uc218\ucd9c\uc785\uc740\ud589", "9157": "empty", "9158": "empty", "9159": "\uad00\uc138\uccad \uc911\uc9c4\uacf5 FTA\uc13c\ud130", "9160": "\ub9c8\ub2c8\ub85c\ubd07 \ud0dc\uad8c\ube0c\uc774", "9161": "\uc724\ud65c\uc720", "9162": "1\uac1c\uc0ac", "9163": "7.3%", "9164": "19,337GWh 3.7%", "9165": "28.5%", "9166": "44\ub144", "9167": "\uac1c\ubc29\ud615 \uc5f0\uad6c\uc2e4", "9168": "\uc815\uaddc\uc9c1 \uc778\ub825 11.9\uc5b5\uba85\uc774 \uc790\ub3d9\ud654 \uc601\ud5a5\uc744 \ubc1b\uc744 \uac83", "9169": "\uc544\uc0b0", "9170": "1/2 \uc774\ub0b4", "9171": "300\uc5b5\uc6d0", "9172": "A\uc0ac", "9173": "\uc911\uad6d", "9174": "empty", "9175": "20", "9176": "\uacb0\uc815\uacc4 \uc2e4\ub9ac\ucf58 \uc9c0\uc0c1\uc6a9 \ud0dc\uc591\uc804\uc9c0\ubaa8\ub4c8 - \uc124\uacc4\uc778\uc99d \ubc0f \ud615\uc2dd\uc2b9\uc778 KTL", "9177": "8\uc138\ub300\uae09 \uc774\uc0c1 TFT-LCD \ud328\ub110 \uacf5\uc815\u00b7\uc81c\uc870\uae30\uc220", "9178": "\uc0b0\uc5c5\uc790\uc6d0\ud611\ub825\uc2e4\uc7a5", "9179": "25,175.1\uc5b5\uc6d0", "9180": "5325", "9181": "\ud604\ub300 (\ud55c\uad6d) 2,900Cr", "9182": "61\uac1c\uad6d", "9183": "1\ubd80 \uac1c\ud68c\uc2dd \ubc0f IR \ubc1c\ud45c 13:00~13:30", "9184": "empty", "9185": "empty", "9186": "\ub300\ud559\uc6d0", "9187": "empty", "9188": "empty", "9189": "empty", "9190": "\uc5d0\ub108\uc9c0\uc790\uc6d0", "9191": "empty", "9192": "empty", "9193": "empty", "9194": "empty", "9195": "500\uc5b5 \uc6d0 444\uc5b5 \uc6d0", "9196": "3 (\uc8fc)\uc528\ud53c\uc564\uc528", "9197": "30", "9198": "300\uc5b5\uc6d0", "9199": "empty", "9200": "1 2,000\ub9cc\uc6d0", "9201": "\ud658\uacbd\uc815\ucc45 \ubc0f \uc0ac\ud68c\uc815\ucc45\uc5d0 \ub300\ud55c \uac10\uc0ac \ube44\uc911\uc5d0 \ub300\ud55c \ube44\uc728\uc774 \uc5ec\uc804\ud788 \ub0ae\uc740 \uac83\uc73c\ub85c \uc870\uc0ac\ub428", "9202": "empty", "9203": "3\ub144\ucca0\ud3d0", "9204": "empty", "9205": "empty", "9206": "empty", "9207": "1973.5.24", "9208": "\uadf8\ub9b0 \uc218\uc1a1 \ucd08\ub300\ud615 \ud50c\ub85c\uc6cc \ud0c0\uc785 \ubcf4\ub9c1 \uba38\uc2e0 \uac1c\ubc1c \ud55c\uad6d\uc815\ubc00\uae30\uacc4", "9209": "\uae30\uacc4 19 6", "9210": "\uc0bc\uc131\uc804\uc790", "9211": "\ubc14\uc774\uc624\uc758\ub8cc", "9212": "\uc0dd\uccb4\uc2e0\ud638\ucc98\ub9ac \uae30\ubc18 \uc2a4\ub9c8\ud2b8 \uc548\uc804\uad00\ub9ac\uc2dc\uc2a4\ud15c", "9213": "empty", "9214": "10.15 \ub300\uad6c\ubbf8\uc220\uad00", "9215": "empty", "9216": "12\uc2dc\uac04", "9217": "\uc804\uc790, \uc804\uae30, \uc7ac\ub8cc, \uae30\uacc4, \ubb3c\ub9ac \ubc0f \ud654\ud559 \ub4f1", "9218": "\uae30\uc220 \ud3ec\ub7fc \u2027\ubb34\uc778\uc790\uc728\uc8fc\ud589\ucc28, \ub85c\ubd07, \ud5ec\uc2a4\ucf00\uc5b4 \ub4f1 \uc735\ud569 \uc2e0\uc0b0\uc5c5 \uac15\uc5f0", "9219": "empty", "9220": "empty", "9221": "1/2 \uc774\ub0b4", "9222": "\ud55c\uad6d\ud56d\uacf5\uc6b0\uc8fc \uc5f0\uad6c\uc6d0 \uc120\uc784 \uc5f0\uad6c\uc6d0", "9223": "\ub3c4\uc785\uc0c1\ub2f4", "9224": "\ud55c\uad6d\ubc18\ub3c4\uccb4\uc0b0\uc5c5\ud611\ud68c\ud68c\uad00", "9225": "empty", "9226": "empty", "9227": "\ub450\uc0b0 \uadf8\ub8f9 \uc0ac\uc7a5", "9228": "\uc0bc\uc131\uc804\uae30", "9229": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uad00\ub9ac\uc6d0", "9230": "216\uac1c", "9231": "empty", "9232": "45\uc5b5\uc6d0", "9233": "\uc0b0\uc5c5\ubd80", "9234": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "9235": "26\uac1c\uad6d", "9236": "50", "9237": "\uc0b0\uc790\ubd80 \uc0b0\uc5c5\uc815\ucc45\uad6d\uc7a5", "9238": "\uad50\ubcf4\uc0dd\uba85\ubcf4\ud5d8(\uc8fc) \ubcf4\ud5d8\uc11c\ube44\uc2a4 \ub300\ud1b5\ub839\ud45c\ucc3d \ub300\uae30\uc5c5", "9239": "\ub9ac\ubca0\ub77c \ud638\ud154", "9240": "empty", "9241": "\uad50\ubcf4\uc0dd\uba85\ubcf4\ud5d8", "9242": "empty", "9243": "empty", "9244": "\ucc28\uc138\ub300 \uc790\ub3d9\ucc28+\uce5c\ud658\uacbd\uc790\ub3d9\ucc28", "9245": "1,400\uc5b5\uc6d0", "9246": "311\uac74", "9247": "empty", "9248": "empty", "9249": "35%", "9250": "\uc6b8\ub989\ub3c4 \uc0ac\uc5c5 \ucc29\uacf5", "9251": "16\uc885", "9252": "empty", "9253": "empty", "9254": "\ud604\ub300\u00b7\uae30\uc544\uc790\ub3d9\ucc28", "9255": "empty", "9256": "empty", "9257": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\uc7ac\ub2e8", "9258": "\uc804\uc790\ud1b5\uc2e0\uacf5\ud559\uacfc", "9259": "\ube44\ud589\uae30", "9260": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uad00\ub9ac\uc6d0", "9261": "\uac00\uc2a4\uae30\ub2a5\uc0ac, \uc591\uc131\uad50\uc721 \uc774\uc218\uc790", "9262": "empty", "9263": "3\ucc99", "9264": "\ub0a8\ud574\ud654\ud559 \ub4f1 20\uac1c", "9265": "empty", "9266": "empty", "9267": "106\uc5b5 5,800\uc6d0", "9268": "\uc11c\uc0b0\uc11d\uc720\ube44\ucd95\uae30\uc9c0 \uac10\uc2dc\ub85c\ubd07 \uc2e4\uc99d\ub2e8\uc9c0 \uad6c\ucd95, \uac10\uc2dc\ub85c\ubd07 \uc2dc\uc2a4\ud15c \uc54c\uc81c\ub9ac", "9269": "17.12 19.1 \uc9c0\uc5ed\ub09c\ubc29\uacf5\uc0ac", "9270": "\ud55c\uc591\ub300(\uc548\uc0b0) \uacbd\ubd81\ub300", "9271": "30.3%", "9272": "1\ud300", "9273": "\uacf5\ubaa8\uc804 \uac1c\ucd5c", "9274": "2010 Lovcat calender", "9275": "empty", "9276": "\u25aa\uae30\uc5c5\ubcc4 \uc790\uc720\ubb34\uc5ed\ud611\uc815 \ud65c\uc6a9\ub960 \uc99d\ub300", "9277": "empty", "9278": "11.3", "9279": "2,205\ud3c9", "9280": "empty", "9281": "\uc0bc\uc131\uc11c\uc6b8\ubcd1\uc6d0 \ud575\uc758\ud559\uacfc", "9282": "200\uc5b5\uc6d0", "9283": "270\uc5b5", "9284": "empty", "9285": "\ubca4\ucc98\uae30\uc5c5", "9286": "empty", "9287": "empty", "9288": "empty", "9289": "empty", "9290": "2010\ub144 \ud575\uc2ec\ubd80\ud488 \ubc0f \uac10\uc2dc\ub85c\ubd07\uc2dc\uc2a4\ud15c\uc758 \uc54c\uc81c\ub9ac", "9291": "empty", "9292": "3\uc5b5\uc6d0/\uc5f0 \ub0b4\uc678, 3\ub144 \uc774\ub0b4", "9293": "\uc9c0\uacbd\ubd80", "9294": "\uc778\ub825 \uc804\ud658\ubc30\uce58 \ud611\uc870, \uc5f0\uad6c\uac1c\ubc1c \uc778\ub825 \uc774\uc9c1 \uc790\uc81c", "9295": "\uc0bc\uc131\uc804\uc790", "9296": "empty", "9297": "empty", "9298": "empty", "9299": "empty", "9300": "empty", "9301": "\ud64d\uc885\ucca0 \ud55c\ud654", "9302": "\ud638\ub0a8\uad8c", "9303": "\uc9c4\uc8fc\uc2dc\uccad", "9304": "empty", "9305": "empty", "9306": "20", "9307": "\ud604\uae08\ud750\ub984 \uae30\uc5c5 \ud65c\ub3d9\uc744 \ud1b5\ud574 \ub098\ud0c0\ub098\ub294 \ud604\uae08\uc758 \uc720\uc785\uacfc \uc720\ucd9c", "9308": "empty", "9309": "84\uac1c \ud488\ubaa9", "9310": "6.25 \ud45c\uc900\ud654 \ud0c4\uc18c\uc911\ub9bd \ud45c\uc900\ud654 \uc804\ub7b5 \uc218\ub9bd \ucd94\uc9c4\ud604\ud669 \uc810\uac80", "9311": "\ub3c5\uc77c DHL", "9312": "\ud638\uc11c\ub300", "9313": "\uc544\uc0ac\ud788\uae00\ub77c\uc2a4", "9314": "empty", "9315": "\ubaa8\ud130", "9316": "empty", "9317": "\uad50\ud1b5\uc778\ud504\ub77c", "9318": "empty", "9319": "\uae30\uc220\uae30\uc900", "9320": "\ud734\uba3c\ud329\ud130 \ud3c9\uac00\ubc29\ubc95, \uc694\uc778\ubcc4 \uc778\uccb4 \uc548\uc804\uc131 \uae30\uc900", "9321": "ETRI", "9322": "empty", "9323": "\ubc14\uc774\uc624", "9324": "\ub514\uc2a4\ud50c\ub808\uc774\uc0b0\uc5c5\ud611\ud68c", "9325": "empty", "9326": "\ucc28\ub7c9\uc5f0\ube44\uac1c\uc120\uc744 \uc704\ud55c \uc7ac\uc0dd\uc5d0\ub108\uc9c0 \uc751\uc6a9\uc2dc\uc2a4\ud15c", "9327": "\ud2b9\uc815 \ud0a4\uc6cc\ub4dc \uc785\ub825\uc744 \ud1b5\ud574, \uae30\uc220\uaddc\uc81c \uc815\ubcf4\ub97c \ud1b5\ud569 \uac80\uc0c9", "9328": "\uc624\ud508\ub9c8\ucf13", "9329": "\ud30c\uc778\uc138\ub77c\ubbf9\uc2a4", "9330": "empty", "9331": "\ubb34\uc120\ud1b5\uc2e0\uae30\uae30", "9332": "30\uba85", "9333": "System Integration\uc2dc\uc2a4\ud15c \uad6c\ucd95", "9334": "empty", "9335": "25.7% 31.1% 0.0% 68.9%", "9336": "empty", "9337": "empty", "9338": "\ud654\ucc9c\uae30\uacc4", "9339": "empty", "9340": "\ubc95\ub960 \u25aa\uc0b0\uc5c5\uacbd\uc7c1\ub825\uac15\ud654\ubc95", "9341": "empty", "9342": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0 1\uc778\ub2f9 2\ucc9c\ub9cc\uc6d0", "9343": "30% \ucd94\uac00\uad00\uc138 \ubd80\uacfc", "9344": "\ud504\ub77c\uc6b4\ud638\ud37c \uc5f0\uad6c\uc18c", "9345": "empty", "9346": "\ucc28\uc138\ub300TV \ub4f1 \uba40\ud2f0\ubbf8\ub514\uc5b4 SoC \ubc0f \ud50c\ub7ab\ud3fc \uae30\uc220 \ud55c\uc591\ub300", "9347": "empty", "9348": "6\uc6d4", "9349": "\uc81c5\uc870", "9350": "6\uc5b5\uc6d0", "9351": "\u321c\uc2e0\ud55c\uae08\uc735\uc9c0\uc8fc\ud68c\uc0ac", "9352": "\uad6c\uc870\ud654\ub41c \uc124\ubb38\uc9c0", "9353": "\ucee8\uc18c\uc2dc\uc5c4 \uad6c\uc131", "9354": "\uc911\uae30\uccad", "9355": "empty", "9356": "empty", "9357": "IT+\uc790\ub3d9\ucc28 \uacbd\ubd81\ub300", "9358": "10%\uc774\ub0b4", "9359": "\ud558\uc774\uace0\ud300", "9360": "empty", "9361": "\ud0dc\uc591\uad11 \ubaa8\ub4c8", "9362": "500\uba85", "9363": "\ub3c4\uc790\uae30\uc9c8 \ud0c0\uc77c \uc911\uad6d", "9364": "empty", "9365": "World-Class 300", "9366": "empty", "9367": "10 \uc8fc\ubd80\uc2dd \u201c \u321c\ub514\uc5d0\uc2a4\uc778\ud130\ub0b4\uc154\ub110", "9368": "\ub2c8\ucf08", "9369": "\uc778\ucf54\u2027\ud330\ucf58\ube0c\ub9ac\uc9c0", "9370": "empty", "9371": "19\uba85", "9372": "\uad11\uc7a5\uc2dc\uc7a5", "9373": "\uac1c\uc778\uc6a9 -\uac1c\uc778 \ubcf4\uc720 \ubaa8\ub378\ub9c1 \ub370\uc774\ud130 \uc720\ucd9c, \ubd88\ubc95 \ubcf5\uc81c\ub97c \ud1b5\ud55c \uc800\uc791\uad8c \uce68\ud574 \ub4f1", "9374": "\ud55c\uad6d\uc2a4\ub9c8\ud2b8\ud648 \uc0b0\uc5c5\ud611\ud68c", "9375": "empty", "9376": "\uacf5\uacf5\uc11c\ube44\uc2a4\uc5c5", "9377": "11%\uc774\ub0b4 14%\uc774\ub0b4", "9378": "24.4\uc870\uc6d0 30%", "9379": "\ub2e8\uc804\uc2dc \ub300\uc751\uc694\ub839", "9380": "empty", "9381": "empty", "9382": "empty", "9383": "20\ub9cc\uc6d0", "9384": "\uc6a9\ub7c9\ubcc4 \ubb34\uc120\ucda9\uc804 \uc2dc\ud5d8\uc778\uc99d 13 \uac74\uac15\ud55c \uad6d\uac00\uc2e4\ud604\uc744 \uc704\ud55c \uc911\ub3c5\ud3c9\uac00", "9385": "\uae30\uacc4\ubd80\ud488, \uc96c\uc5bc\ub9ac, \uae08\ud615 \ub4f1 \ubc15\ub9c9\uc18c\uc7ac", "9386": "2\uc774\uc0c1\uc758 \uc0c1\ud45c\uc81c\ud488\uc744 \ud310\ub9e4", "9387": "\ubb34\uc120\ud1b5\uc2e0\uae30\uae30", "9388": "\uce90\ub178\ud53c, \ubcbd\uba74", "9389": "SK \ub9c8\ucf00\ud305\uc564\ucef4\ud37c\ub2c8", "9390": "\uad50\ud1b5\uc778\ud504\ub77c", "9391": "\ud654\ud559\ubb3c\uc9c8 \uc791\uc5c5\ubc18", "9392": "115.1\ub9cc\uac1c \uc694\uae08\uac10\uba74 \uc6d4 \uc804\uae30\uc694\uae08\uc758 50%", "9393": "\ucf54\ud2b8\ub77c", "9394": "empty", "9395": "\ud154\ub808\uce69\uc2a4", "9396": "\uc774\ub178\ub514\uc2a4", "9397": "\ub85c\uadf8\uc778", "9398": "\ud2b9\ud5c8\ubc95\uc778, \ubca4\ucc98\uce90\ud53c\ud0c8", "9399": "\uc624\uc804 \uc624\ud6c4", "9400": "\u321c\uae08\ub95c\ud32c\uc544\ud06c \ub300\ud45c", "9401": "\ubc18\ub3c4\uccb4", "9402": "\u321c\uae08\uc591\uc774\uc564\uc528", "9403": "empty", "9404": "\uc0b0\uc5c5\ubd80", "9405": "15\ub144", "9406": "\ub9e4\ucd9c\uc561 : 68\uc5b5\uc6d0", "9407": "9.24(\uc6d4) \ucc3d\uc6d0", "9408": "4M DRAM", "9409": "96\uba85", "9410": "\uc804\uccb4\uc5f0\uad6c \ucd1d\uad04 \uc804\uccb4\uc5f0\uad6c \ucd1d\uad04 KIEP", "9411": "4.0 \ubc29\uc1a1\uc6a9 LED Flux, Spot, Moving \uc870\uba85", "9412": "\ud55c\uc194\ucf00\ubbf8\uc5b8\uc2a4", "9413": "empty", "9414": "\ub09c\ubc29\uacf5\uc0ac", "9415": "empty", "9416": "empty", "9417": "7 \uacbd\uc81c\ub2e8\uccb4", "9418": "2010\ub144", "9419": "\uc911\uc18c\uae30\uc5c5 \uc911\uc18c\u00b7\uc911\uacac\uae30\uc5c5", "9420": "empty", "9421": "empty", "9422": "\uc601\uc5c5\uc591\uc218\ub3c4", "9423": "empty", "9424": "\uc0bc\uc131\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "9425": "empty", "9426": "\uc735\ud569\uc778\uc7ac \u25c9 \uc9c0\ub2a5\ud654 \ubd84\uc57c \uc2e4\ubb34\uc778\uc7ac \uc778\ub825\ub09c", "9427": "empty", "9428": "\uae08\uc18d \ud3ec\ud56d\uc0b0\uc5c5\uacfc\ud559\uc5f0\uad6c\uc6d0", "9429": "18\uac74", "9430": "empty", "9431": "24.0%", "9432": "\uc11c\uc6b8\ub300\ud559\uad50", "9433": "\uc804\uc2dc \ud504\ub85c\uadf8\ub7a8 \uacf5\ud559\ubc34\ub4dc", "9434": "\ub514\uc790\uc778\uc804\ubb38\ud68c\uc0ac \uc721\uc131 - \u300c\ub514\uc790\uc778\uc2e4\uc6a9\ud654\uc13c\ud0c0\u300d\uac74\ub9bd", "9435": "19.0", "9436": "\uc7ac\uc815\uacbd\uc81c\ubd80", "9437": "\uc7ac\ubc30\uc9c0\uc6d0\uc0ac\uc5c5, \uc57d\ucd08\uc7ac\ubc30\uc9c0\uc6d0\uc0ac\uc5c5 \uc5f0\uacc4", "9438": "\ucda9\ubd81\ub300\ud559\uad50, \uacbd\ubd81\ub300\ud559\uad50, \uc601\ub0a8\ub300\ud559\uad50, \ud55c\uad6d\uae30\uc220\uad50\uc721\ub300\ud559\uad50", "9439": "4\uc5b5\uc6d0", "9440": "\uc8fc\uad00\uae30\uad00", "9441": "empty", "9442": "\ud0dc\uc591\uad11, \ud48d\ub825 \ub4f1 \uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0 \uc911\uc2ec", "9443": "\uc561\uc815\uc815\ub7c9 \ud1a0\ucd9c\uc7a5\ube44", "9444": "300\uc5b5\uc6d0", "9445": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "9446": "4", "9447": "empty", "9448": "\uacbd\uae30 \ud654\uc131\uc2dc", "9449": "\uc784\uc9c1\uc6d0 \ub300\uc0c1", "9450": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "9451": "empty", "9452": "empty", "9453": "empty", "9454": "empty", "9455": "\uae08\uc18d, \ud50c\ub77c\uc2a4\ud2f1 \uad6c\uc870\ubb3c", "9456": "4\ubd84", "9457": "\uace0\uc591\uc9c0\uc2dd\uc815\ubcf4\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "9458": "empty", "9459": "981\ubc31\ub9cc\ubd88", "9460": "\uc9c0\uba74\uc778\uc1c4(\uc885\uc774\ucc45, \uc885\uc774\uc2e0\ubb38 \ub4f1) \uc804\uc790\ucd9c\ud310", "9461": "empty", "9462": "54,151\ud300", "9463": "empty", "9464": "\ub2f4\ub2f9PD+\ub514\uc790\uc778PD", "9465": "2.4 2.4", "9466": "\uc790\uc6d0\ud300", "9467": "25\uac1c\uad6d 700\uc5ec \uba85 \ucc38\uac00", "9468": "225\uba85 17\uba85", "9469": "\ub300\ub355\uc774\ub178\ud3f4\ub9ac\uc2a4\ubca4\ucc98\ud611\ud68c", "9470": "\ubc18\ub3c4\uccb4\uc18c\uc790 \ubd84\uc11d\uae30", "9471": "2", "9472": "empty", "9473": "RFID/USN \uc131\uacf5 \ub3c4\uc785\uc0ac\ub840 \uc18c\uac1c", "9474": "empty", "9475": "\ub974\ub124\uc0ac\uc2a4, \uae00\ub85c\ubc8c \ud30c\uc6b4\ub4dc\ub9ac", "9476": "\uc8fc\ubbfc\ubcf5\uc9c0\uad6d\uc7a5 *** 9 \ub3d9\ub798\uad6c \ubcf5\uc9c0\ud658\uacbd\uad6d\uc7a5", "9477": "7\uac1c\uc0ac", "9478": "empty", "9479": "empty", "9480": "empty", "9481": "1\uc2dc\uac04 \uc774\uc0c1 \ube44\ud589\uc774 \uac00\ub2a5\ud55c \ub2e4\ubaa9\uc801 \uc218\uc9c1\uc774\ucc29\ub959 \ube44\ud589\ub85c\ubd07 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9482": "empty", "9483": "empty", "9484": "582\uba85 55\uba85", "9485": "empty", "9486": "empty", "9487": "\uc678\ud22c \uae30\uc5c5", "9488": "\uc6a9\uc9c0 \ucc98\ubd84\uc81c\ud55c\uae30\uac04\uc758 \uc720\uc5f0\ud55c \uc801\uc6a9 \uac80\ud1a0 \uc2dc\ud589\ub839 2015.12\uc6d4", "9489": "empty", "9490": "\uc804\ub0a8\ub300 \ubc95\ud559\uc804\ubb38\ub300\ud559\uc6d0", "9491": "empty", "9492": "empty", "9493": "\ud604\ub300\uae30\uc544\uc790\ub3d9\ucc28", "9494": "empty", "9495": "\uad6d\ub0b4\uc81c\uc77c \uba5c\ub860\ub2e8\uc9c0 \uc721\uc131", "9496": "\ud55c\uad6d\uacac\uc9c1\uc5f0\uad6c\uc6d0", "9497": "empty", "9498": "empty", "9499": "30% \uc774\uc0c1 \uc808\uac10 \uac00\ub2a5\ud55c \ubc94\uc6a9 \uc6b4\uc601\uccb4\uc81c \ud575\uc2ec \uc6d0\ucc9c \uae30\uc220 \uac1c\ubc1c", "9500": "empty", "9501": "empty", "9502": "\uc6d0\uc548\uc704 \uc0ac\ubb34\ucc98\uc7a5", "9503": "empty", "9504": "empty", "9505": "\uc9c0\ub2a5\ud615 HVI \uae30\uc220, DMB\uae30\ubc18 \ub9f5 \uac31\uc2e0 \uae30\uc220, \ucc28\ub7c9 \uc790\ub3d9 \uc720\ub3c4 \uae30\uc220 \ub4f1", "9506": "20", "9507": "\ud504\ub791\uc2a4", "9508": "IT \ubc0f \uc790\ub3d9\ucc28\ubd84\uc57c \uae30\ucd08\uae30\uc220\uad50\uc721", "9509": "empty", "9510": "\uc6b0\uc218 \ube44\uc988\ub2c8\uc2a4 \uc544\uc774\ub514\uc5b4 \uc81c\ud488", "9511": "\uc0b0\uc5c5\ubd80 \uc7a5\uad00, \ucca0\uac15\ud611\ud68c \ud68c\uc7a5\ub2e8", "9512": "empty", "9513": "250\uc5b5\uc6d0", "9514": "1 \ub300\uaddc\ubaa8 \uc804\uae30\uc0ac\uc6a9\uc790 \uc0ac\uc6a9\uc81c\ud55c \u2219\uacc4\uc57d\uc804\ub825 3\ucc9ckW \uc774\uc0c1", "9515": "\uc2f1\uac00\ud3ec\ub974 \uce60\ub808 \ud398\ub8e8 \ub274\uc9c8\ub79c\ub4dc \ubca0\ud2b8\ub0a8 \ube0c\ub8e8\ub098\uc774", "9516": "\ud2b8\ub808\ub4dc \uc911\uc559\ubd80\uc758 \uce7c\ub77c\ud0c0\uc774\uc5b4 \uc81c\uc870\uae30\uc220", "9517": "5\uc5b5\uc6d0 \ub0b4\uc678", "9518": "empty", "9519": "6\uc6d4 12", "9520": "empty", "9521": "\uc9c1\uc811\ubd80\ud558 \uc81c\uc5b4 (100\ub9cc) (\uacbd\uacc4) 200 \uff5e 100kW", "9522": "LG \uc804\uc790 \ubc0f \uc0b0\uc5c5\uae30\uc220\uc2dc\ud5d8\uc6d0", "9523": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765\uc6d0", "9524": "empty", "9525": "\uc720\ub9ac \ub610\ub294 \uae08\uc18d", "9526": "empty", "9527": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "9528": "\ud55c\uad6d\uae30\uc220\uac70\ub798\uc18c", "9529": "empty", "9530": "354\uc5b5\ubd88", "9531": "\uc804\uc790\uc0c1\uac70\ub798 \uc778\ud504\ub77c \ud655\ucda9", "9532": "\uc11d\uc720\uc81c\ud488", "9533": "\uc790\ub3d9\ucc28\ubd80\ud488", "9534": "empty", "9535": "empty", "9536": "empty", "9537": "2\uac1c\uc6d4 \ub0b4\uc678", "9538": "\ud37c\uc2a4\ub110\ub85c\ubd07 \uae30\ubc18\uae30\uc220\uac1c\ubc1c", "9539": "IBM", "9540": "empty", "9541": "702\uc5b5\uc6d0", "9542": "empty", "9543": "\uc5d0\ub108\uc9c0\uc2e0\uc0b0\uc5c5", "9544": "\ud3f4\ub780\ub4dc \ubc1c\uc804\ud68c\uc0ac", "9545": "18\uac74", "9546": "8", "9547": "empty", "9548": "empty", "9549": "150\uba85", "9550": "empty", "9551": "empty", "9552": "\uc624\uc2a4\ud2b8\ub9ac\uc544, \ubca8\uae30\uc5d0, \uc774\uc9d1\ud2b8, \ub178\ub974\uc6e8\uc774, \ud3f4\ub780\ub4dc, \ud3ec\ub974\ud22c\uac08, \uc138\ub974\ube44\uc544, \ud0dc\uad6d", "9553": "9,010\uba85", "9554": "\uacf5\uc791\uae30\uacc4\uacf5\uc5c5\ud611\ud68c", "9555": "\uc2dc\uc7a5 \ubaa8\ub2c8\ud130\ub9c1", "9556": "empty", "9557": "\uacf5\uae09\uc790", "9558": "11\uac1c\uc18c", "9559": "empty", "9560": "501\ucc9c\uc6d0", "9561": "empty", "9562": "\ub300\uacbd\uad8c", "9563": "EDI \ubcf4\ud5d8\uccad\uad6c", "9564": "50\ub144 \uc774\uc0c1", "9565": "\uc6d0\ub8cc", "9566": "empty", "9567": "empty", "9568": "empty", "9569": "empty", "9570": "\ud2b9\ud5c8\uae30\uc220\uc774\uc804 \uc9c0\uc6d0\uc0ac\uc5c5", "9571": "\ud604\ub300\uc544\ud2b8\ubaa8\uc544", "9572": "20\uac1c\uc0ac", "9573": "\ub0a9 \ubcf4\ud638\uc6a9 \ud398\uc778\ud2b8\ub0b4 \uc548\uc815\uc81c", "9574": "26\uac1c \uacf5\uacf5\uae30\uad00", "9575": "\uc194\ub77c\ud328\ub110 \uad6c\ub9e4", "9576": "empty", "9577": "40\uba85", "9578": "10\uba85", "9579": "empty", "9580": "\uc900\ubc95\uc9c0\uc6d0\ud300", "9581": "\uc870\uc120\uc0b0\uc5c5 \ubd80\ud488\u00b7\uae30\uc790\uc7ac\uc5c5\uccb4 \uc704\uae30\uadf9\ubcf5\uc9c0\uc6d0(R&D) - 6000", "9582": "\ud589\ubcf5\uccad", "9583": "\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "9584": "empty", "9585": "empty", "9586": "empty", "9587": "empty", "9588": "empty", "9589": "1,831\uc5b5\uc6d0", "9590": "empty", "9591": "empty", "9592": "\uc11c\uc6b8\ub300\ud559\uad50", "9593": "empty", "9594": "\uac15\uad00\ub958", "9595": "empty", "9596": "\uc138\uc885 \uc804\ud1b5\uc2dc\uc7a5 \uc138\uc885, \uc870\uce58\uc6d0\uc74d", "9597": "10\ub144 \uc774\ub0b4", "9598": "empty", "9599": "\uc54c\ucf54\uc62c", "9600": "250\uba85", "9601": "empty", "9602": "\uc0ac\ud68c\uacf5\ud5cc\uc13c\ud130 \uac74\ub9bd \ucd94\uc9c4", "9603": "AI", "9604": "EU", "9605": "empty", "9606": "KOTRA\uc0ac\uc7a5", "9607": "empty", "9608": "empty", "9609": "\ub3c4\uc11c\ubb38\ud654\uc0c1\ud488\uad8c", "9610": "\uc9c0\uba74\uc778\uc1c4(\uc885\uc774\ucc45, \uc885\uc774\uc2e0\ubb38 \ub4f1) \uc804\uc790\ucd9c\ud310", "9611": "0.4\uc5b5\ubd88", "9612": "20\uac1c\uad00, 220\ubd80\uc2a4) \ucc38\uc5ec\uc874", "9613": "\ubc31\uc545\uad00 \uc608\uc0b0\uad6d\uc7a5", "9614": "23.9%", "9615": "\uc0bc\uc131\uc804\uae30", "9616": "\uc790\uc804\uac70\ube0c\ub808\uc774\ud06c\ubd80\ud488", "9617": "empty", "9618": "100\uc5b5\uc6d0", "9619": "empty", "9620": "empty", "9621": "empty", "9622": "90\uac1c\uc0ac", "9623": "\ub3d9\uad6d\ub300", "9624": "7\uac1c", "9625": "empty", "9626": "3,431\ubc31\ub9cc\uc6d0", "9627": "\uc2e0\uc7ac\uc0dd \uc5d0\ub108\uc9c0", "9628": "13\uac1c", "9629": "empty", "9630": "6-1 \ucc3d\uc5c5\uc911\uc18c\uae30\uc5c5 \uc138\uc561\uac10\uba74 \ub300\uc0c1 \ud655\ub300", "9631": "\uc81c\uc8fc \ud5ec\uc2a4\ucf00\uc5b4 \ud0c0\uc6b4 \uc758\ub8cc\uae30\uad00 \ubc0f \ub9ac\uc870\ud2b8", "9632": "empty", "9633": "\uacf5\uae30\uc5c5 \ud639\uc740 \ub300\uae30\uc5c5", "9634": "57\uac1c \uc0ac\uc5c5\ub2e8", "9635": "\uc0b0\uc5c5\ub2e8\uc9c0 \ucde8\uc5c5\uc9c0\uc6d0\uad00", "9636": "\uacbd\ub0a8 \ud558\ub3d9\ub179\ucc28\uc5f0\uad6c\uc18c", "9637": "9-1 \uc911\uc18c\uae30\uc5c5 \uc9c0\uc6d0\uc124\ube44 \uc190\uae08\uc0b0\uc785 \ud2b9\ub840\uc81c\ub3c4", "9638": "\uc5d4\uc5d0\uc774\uce58\ub137", "9639": "\uc0b0\uc790\ubd80", "9640": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "9641": "empty", "9642": "empty", "9643": "empty", "9644": "\uc18c\uc720\uc9c0\ubc30\uad34\ub9ac\ub3c4 \ubc0f \uc758\uacb0 \uad8c\uc2b9\uc218 \uc878\uc5c5\uae30\uc900 \uc644\ud654", "9645": "\u321c\uc54c\uc564\uc5d0\uc2a4\ub7a9", "9646": "\ubd80\uc0b0\ub514\uc790\uc778\uc13c\ud130", "9647": "13-6", "9648": "empty", "9649": "empty", "9650": "empty", "9651": "\ub3d9\uc131 \uc720\ub2c8\ud14c\ud06c", "9652": "\uc54c\ucf54\uc62c", "9653": "\ud22c\uc790\uc815\ucc45\uacfc\uc7a5 KOTRA", "9654": "10.2 44.0%", "9655": "empty", "9656": "empty", "9657": "23306", "9658": "empty", "9659": "20\uba85", "9660": "empty", "9661": "empty", "9662": "186\uc885", "9663": "1 \uc11c\ube44\uc2a4\uc758 \uad6d\uacbd\uac04 \uc774\ub3d9\uc5d0 \uc758\ud55c \uacf5\uae09", "9664": "empty", "9665": "\uc5f0\uad6c\uc6d0 \uc5f0\uad6c\uc2e4\uc7a5", "9666": "\ud55c\uc591\ub300\ud559\uad50", "9667": "\ud55c\uad6dIC\uce74\ub4dc\uc5f0\uad6c\uc870\ud569", "9668": "\uc11c\uc6b8", "9669": "empty", "9670": "empty", "9671": "8. \uc0ac\uc774\ubc84\uc804\uc2dc\ud68c", "9672": "\uacbd\ubd81\ub300", "9673": "\uc911\uad6d \uc0c1\ud558\uc774 \uc720\uc544\uc6a9\ud488 2019.7 \uc870\uc120 \ud734\uc2a4\ud134 \ud574\uc591\ubc15\ub78c\ud68c", "9674": "\uc11c\uadc0\ud3ec, \ubb34\uc8fc \ub4f1 \uc9c0\uc790\uccb4 \uc0ac\ub840\ubc1c\ud45c", "9675": "\uc0bc\uc131\uc804\uc790", "9676": "empty", "9677": "empty", "9678": "\uc790\ubcf8\uc7ac\uc0b0\uc5c5\uad6d", "9679": "\uae08\uc735\u00b7\uc678\ud658 (1\uac1c \uacfc\uc81c) \u3147\uacb0\uc0b0 \uc0c1\uacc4\uc808\ucc28 \uac04\uc18c\ud654", "9680": "empty", "9681": "\ub9d0\ub77c\uce74\uc774\ud2b8 \uadf8\ub9b0", "9682": "\ub514\uc790\uc778\uacbd\uc601\uc131\uacfc", "9683": "\uc18c\ube44\uc790 \uae30\ubcf8\ubc95 \uc81c12\uc870", "9684": "\ud55c\uad6d\uc778\uc815\uc9c0\uc6d0\uc13c\ud130", "9685": "\uc735\ud569\ud615 \uc778\ub825 \uc591\uc131", "9686": "empty", "9687": "\uac15\uc6d0", "9688": "\ub9c8\uc0b0\uc790\uc720\ubb34\uc5ed\uc9c0\uc5ed \uc775\uc0b0\uc790\uc720\ubb34\uc5ed\uc9c0\uc5ed \uad70\uc0b0\uc790\uc720\ubb34\uc5ed\uc9c0\uc5ed", "9689": "\uc0bc\uc131SDI(\uc8fc) LG\ud654\ud559(\uc8fc)\uc5ec\uc218\uacf5\uc7a5 \uacc4\uc7a5", "9690": "empty", "9691": "14\uba85 \uc811\uc218 5\uba85", "9692": "\uacbd\uae30 TP \uacbd\uae30, \uac15\uc6d0", "9693": "empty", "9694": "empty", "9695": "empty", "9696": "\uc628\uc591", "9697": "20\ub144", "9698": "empty", "9699": "empty", "9700": "\uc6b8\uc0b0\ub300, \ubd80\uacbd\ub300", "9701": "\uc0bc\uc131(8) \uc0bc\uc131\uc804\uc790", "9702": "\uac1c\ud68c \ubc0f \uc2dd\uc21c \uc548\ub0b4 \u2022\uac04\ub2f4\ud68c \ucc38\uc11d\uc790 \uc18c\uac1c KOTRA \uace0\uac1d\uc11c\ube44\uc2a4\uae30\ud68d\uc2e4\uc7a5", "9703": "\ubaa8\ubc14\uc77c Application Processor SoC \uacf5\uc815 \uae30\uc220 -2009\ub144~2017\ub144", "9704": "16\uac74", "9705": "\ud328\ub110\ud310\uc815", "9706": "67dbA\uc774\ud558", "9707": "empty", "9708": "empty", "9709": "empty", "9710": "3\ub144\uac04 \ucd1d 1\uc5b5\uc6d0 \ud55c\ub3c4", "9711": "empty", "9712": "150\uc5b5 \uc6d0", "9713": "\ucf54\uc624\ub871 \uc0dd\uba85\uacfc\ud559", "9714": "\uc778\ub3c4\ub124\uc2dc\uc544 \ubc31\uc0c1\uc9c0 \ubc18\ub364\ud551 \ucd98\uacc4\uc608\uc120 \uc120\ubc1c", "9715": "2018\ub144", "9716": "empty", "9717": "empty", "9718": "\uacf5\ub85c\ubd80\ubb38", "9719": "empty", "9720": "\ubcf4\uac74\uc0b0\uc5c5 \uc9c4\ud765\uc6d0 \ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8", "9721": "\ubbf8\uad6d", "9722": "12\uc810", "9723": "5,000\ub9cc\uc6d0\uc774\uc0c1", "9724": "\uc2dc\uc2a4\ud15c\ud615", "9725": "PSK\ud68c\uc758\uc2e4", "9726": "empty", "9727": "empty", "9728": "4 \ub3d9\ubc29\ub178\ubcf4\ud38c", "9729": "empty", "9730": "\ubd80\uc0b0", "9731": "empty", "9732": "15.9%", "9733": "90\uac1c\uc0ac", "9734": "empty", "9735": "\uc5d0\ub108\uc9c0", "9736": "\uc758\ub8cc\uc7ac\ud65c \uc2ec\uc7a5 \uc218\uc220\uc6a9 \ub85c\ubd07", "9737": "empty", "9738": "empty", "9739": "162\uc5b5\uc6d0", "9740": "empty", "9741": "1270", "9742": "\uc218\ub3c4\uae30\uc790\uc7ac\uc758 \uc704\uc0dd\uc548\uc804 \uc778\uc99d\uc81c\ub3c4 \ub3c4\uc785", "9743": "empty", "9744": "11\uc6d4", "9745": "empty", "9746": "\uc548\uc804\uaddc\uc81c \uc704\uc8fc", "9747": "824\uc5b5\uc6d0", "9748": "empty", "9749": "9013.20-0000", "9750": "empty", "9751": "empty", "9752": "1. \uc800\uc555 \uc804\ub825\uc120\ud1b5\uc2e0 \uc2dc\uc2a4\ud15c\uae30\uc220", "9753": "empty", "9754": "empty", "9755": "empty", "9756": "empty", "9757": "\ud050\ub374\ucf54", "9758": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0\uc7a5", "9759": "empty", "9760": "empty", "9761": "120\uba85", "9762": "empty", "9763": "IEC 60601", "9764": "empty", "9765": "\uae00\ub85c\ubc8c \ub9ac\ub354\uc2ed \uc81c\uace0", "9766": "\uc790\ub3d9\ucc28 (1) \u25b2\uc9c0\ub2a5\ud615 \uc5f0\ub8cc\uc804\uc9c0", "9767": "30", "9768": "1\ub2e8\uacc4", "9769": "19\uac74 39\uac74", "9770": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "9771": "\uc804\uae30\uc548\uc804 \uacf5\uc0ac", "9772": "empty", "9773": "empty", "9774": "12\ub144 \uc9c0\uacbd\ubd80", "9775": "empty", "9776": "\uacbd\ud76c\ub300", "9777": "\uae00\ub85c\ubc8c \ubc14\uc774\uc624\uc758\uc57d\ud488 \uc0dd\uc0b0\u2027\ubb3c\ub958 \ud5c8\ube0c", "9778": "empty", "9779": "empty", "9780": "\ubc18\ub364\ud551\uad00\uc138", "9781": "2009\ub144", "9782": "empty", "9783": "\uc774\ube44\uc778\ud6c4\uacfc \uc9c4\ub8cc\uc7a5\uce58", "9784": "empty", "9785": "\uc218\ud61c\uae30\uc5c5\uc758 \uc81c\ud488 \uace0\ubd80\uac00\uac00\uce58\ud654 \uc9c0\uc6d0", "9786": "\ud55c\uad6d \uae30\uc5c5\uc758 \ubc18\ub364\ud551\uad00\uc138 \uc6b0\ud68c\ub97c \ud1b5\ud55c \uc720\ub7fd \uc804\ub3d9 \ud0a5\ubcf4\ub4dc \ubc0f \uc11c\ube44\uc2a4 \uc218\ucd9c\u300f", "9787": "200\uff5e375kW", "9788": "\uc774\ub77c\ud06c", "9789": "empty", "9790": "\ud658\uacbd\uacbd\uc601", "9791": "empty", "9792": "\uc0bc\uc131\uc5d0\ubc84\ub79c\ub4dc", "9793": "empty", "9794": "empty", "9795": "\uc8fc\ud0dd\uad00\ub828 \ubc95\ub839\uac1c\uc815", "9796": "\ubbf8\uc158\uacbd\uae30 37 \ud300", "9797": "3\uc5b5\uc6d0 \uc774\ub0b4", "9798": "\ucc28\ubcc4\ud654\ub41c \uace0\uac00\uc81c\ud488\uc758 \ub2e4\ud488\uc885 \uc18c\ub7c9\uc0dd\uc0b0\uccb4\uc81c\ub85c\uc758 \uad6c\uc870\uac1c\uc120", "9799": "154\uac1c", "9800": "9.0 \uc804\uae30 \uc1a1\ubc30\uc804 \uc804\uae30\uc0b0\uc5c5 \ubd84\uc57c \ucca8\ub2e8 \uae30\uc220 \uc18c\uac1c \ubc0f \uc0ac\uc5c5\ud654 \uc804\ub9dd", "9801": "\uc11c\uc6b8", "9802": "empty", "9803": "empty", "9804": "empty", "9805": "\uc138\uacc4\uc73c\ub738 \uae30\uc220\uc0c1 \ub300\ud1b5\ub839\uc0c1 ***\uc218\uc11d", "9806": "\uc720\ube44\ucffc\ud130\uc2a4 \uc13c\uc11c \uae30\ubc18 \ub3c4\uc2dc\uc2dc\uc124\ubb3c \uad00\ub9ac\uae30\uc220", "9807": "\uc5ec\ub984\ucca0 \uc5d0\ub108\uc9c0\uc808\uc57d\uc744 \uc704\ud55c \uac00\ub450 \ucea0\ud398\uc778 \ubc0f \ud64d\ubcf4\ubb3c \ubc30\ud3ec 7/20", "9808": "10,000\uba85 880\uba85 8,000\uba85", "9809": "\ubc14\uc774\uc624\uc758\ub8cc\uae30\uae30", "9810": "empty", "9811": "90%", "9812": "empty", "9813": "empty", "9814": "empty", "9815": "empty", "9816": "40\uac1c\uc0ac 43\ubd80\uc2a4", "9817": "2000\uc5b5\ub2ec\ub7ec", "9818": "\uc778\ucc9c\ub300", "9819": "\uacbd\ud76c\ub300 \ub77c\uc628\ubb34\uc5ed\ud300", "9820": "empty", "9821": "5\uc2e4", "9822": "empty", "9823": "\uc77c\ubcf8 \ub9c8\uce20\uc2dc\ud0c0", "9824": "empty", "9825": "empty", "9826": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "9827": "empty", "9828": "empty", "9829": "LG\ud654\ud559", "9830": "\uc11d\uc720\uc81c\ud488", "9831": "7\uc77c", "9832": "\uc5d0\uc2a4\uc544\uc774\ud2f0", "9833": "800kV", "9834": "\ud53c\ud2b8\ub2c8\uc2a4\uc13c\ud130,\uc218\uc601\uc7a5,\ud14c\ub2c8\uc2a4\uc7a5,\uc6b4\ub3d9\uc7a5,\ud0c1\uad6c\uc7a5,\ub2f9\uad6c\uc7a5", "9835": "300\uac1c", "9836": "13\ud68c \ud55c\uad6d\ub85c\ubd07\ud56d\uacf5\uae30 \uacbd\uc5f0\ub300\ud68c \ucc38\uad00", "9837": "empty", "9838": "\uc11c\uc6b8 \uad6c\ub85c\uad6c \ub514\uc9c0\ud138\ub85c 32\uae3829", "9839": "\uc608\uc120\ud1b5\uacfc\ud300 \uc120\uc815, \ud56d\uacf5\ub300", "9840": "empty", "9841": "\uc9d1\ub2e8\uc5d0\ub108\uc9c0\uacf5\uae09\uc0ac\uc5c5", "9842": "empty", "9843": "\uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c \uc790\ub3d9\ucc28", "9844": "empty", "9845": "empty", "9846": "empty", "9847": "1\ud68c", "9848": "empty", "9849": "\uad6d\ud1a0\uad50\ud1b5 \uc0b0\uc5c5\uc758 \uadfc\ub85c\uc5ec\uac74 \uac1c\uc120\ud558\uc5ec \uc11c\ube44\uc2a4 \uc9c8 \ud5a5\uc0c1 3.\u65b0\uc0b0\uc5c5 \uc721\uc131", "9850": "100\uff5e130", "9851": "\uc0bc\uc131 \ub514\uc2a4\ud50c\ub808\uc774", "9852": "empty", "9853": "empty", "9854": "\ucd9c\uc785\uad6d\uad00\ub9ac\ubc95\uc5d0 \uad00\ud55c \ud2b9\ub840", "9855": "488320 \ub9e4\ucd9c(\uc5b5\uc6d0) 74.8%", "9856": "102\uba85", "9857": "26\uc5b5\uc6d0(2013\ub144) / 25\uba85", "9858": "\uc804\uae30\uc0b0\uc5c5\uc9c4\ud765\ud68c", "9859": "empty", "9860": "\uae30\uc220\uc131", "9861": "\ub179\uc0c9\uc131\uc7a5", "9862": "709,531\u33a1", "9863": "\ub514\uc2a4\ud50c\ub808\uc774\uc5f0\uad6c\uc870\ud569", "9864": "\ud654\ud559", "9865": "empty", "9866": "70\uc5b5\uc6d0", "9867": "49.0%", "9868": "14\ub144 \uc9c0\uacbd\ubd80", "9869": "\ud074\ub9b0\ub514\uc824\ucc28", "9870": "empty", "9871": "empty", "9872": "\uae08\uc18d\uac00\uacf5\uc81c\ud488", "9873": "\uc18c\ud615", "9874": "\ud0c4\uc131\ud30c \ud0d0\uc0ac \uc774\uc804 \ub2e8\uacc4", "9875": "empty", "9876": "\uc804\uc790\uc81c\ud488 \ubb34\uc5f0 \uc194\ub354\ub9c1 \uc778\ud504\ub77c\uad6c\ucd95 \ubc0f \uc9c0\uc6d0\uc0ac\uc5c5", "9877": "empty", "9878": "empty", "9879": "empty", "9880": "empty", "9881": "\ud55c\uc804\uc5f0\ub8cc", "9882": "273\uc5b5\uc6d0", "9883": "16\uac1c", "9884": "empty", "9885": "\ucd08\uae30 \uc0dd\uc0b0", "9886": "\uc0b0\uc5c5\ub2e8\uc9c0\ud615 \uacf5\ub3d9 \uc9c1\uc7a5 \uc5b4\ub9b0\uc774\uc9d1", "9887": "empty", "9888": "empty", "9889": "2932600", "9890": "\ub514\uc790\uc778 \uccb4\ud5d8 \u00b7\ub514\uc790\uc778\uc744 \uccb4\ud5d8\ud558\ub294 \uac10\uc131\uad00", "9891": "\ud611\uc18c\uc9c0\uc5ed \uc791\uc5c5 \ubd88\uac00\ub2a5 \ud611\uc18c\uc9c0\uc5ed \uc791\uc5c5\uac00\ub2a5 \uc720\uc9c0 \uad00\ub9ac \ubc0f \uc870\uc791\uc131", "9892": "1,000\uc5b5\uc6d0", "9893": "16\uac1c", "9894": "\ucd95\uc0b0\uc778 \u300c\ucd95\uc0b0\ubc95\u300d\uc5d0 \ub530\ub978 \ucd95\uc0b0\uc5c5\ud5c8\uac00(\ub4f1\ub85d)\uc99d\uc744 \ub4dd\ud55c \uc790", "9895": "empty", "9896": "19326", "9897": "IT\uc5c5\uc885, \uc12c\uc720, \uc804\uae30\uae30\uacc4, \uc6b4\uc1a1\uc7a5\ube44", "9898": "empty", "9899": "\uc720\uc9c4\uc12c\uc720", "9900": "empty", "9901": "empty", "9902": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0", "9903": "60% \uc774\uc0c1 \uc911\uacac\uae30\uc5c5 10% \uc774\uc0c1", "9904": "\uc870\ubbf8\uae40 \uc81c\uc870", "9905": "45\uc5b5\ubd88", "9906": "\uc870\uae30\uc644\ub8cc \uc778\uc13c\ud2f0\ube0c", "9907": "empty", "9908": "\ub77c\uba74, \uacfc\uc790, \ube59\uacfc\ub958, \uc544\uc774\uc2a4\ud06c\ub9bc\ub958", "9909": "empty", "9910": "\uc77c\ubcf8", "9911": "\ud50c\ub77c\uc988\ub9c8 \ubb3c\uc131", "9912": "empty", "9913": "260", "9914": "5\ub144 \uc774\ub0b4", "9915": "\ubbf8\uc74c \uc77c\ubc18\uc0b0\ub2e8", "9916": "\ud2b9\ud5c8\uccad \uccad\uc7a5 3", "9917": "empty", "9918": "\ud55c\uad6d(KTNET), \uc77c\ubcf8", "9919": "6,130\uc5b5\ubd88", "9920": "empty", "9921": "\ub2e8\uccb4\uc0c1 1\uc704", "9922": "empty", "9923": "empty", "9924": "\ubb3c\ub9ac\uc801\uc778 \ub77c\uc778\uc218\ub97c \uce21\uc815\ud558\ubbc0\ub85c \uc0b0\uc815\uc774 \uc6a9\uc774\ud558\uace0 \uc0b0\uc815 \uc790\ub3d9\ud654\uac00 \uac00\ub2a5", "9925": "\uc804\ub3d9\uae30", "9926": "76\uba85", "9927": "107.66 73.69 65.20", "9928": "48% 46%", "9929": "7,324\uac74", "9930": "\uc218\uc6d0\ub300", "9931": "\uc131\ub0a8\ub3c4\uc2dc \uac1c\ubc1c\uacf5\uc0ac", "9932": "1460", "9933": "empty", "9934": "100\uba85", "9935": "\uccb4\uc778\ud615 \uc288\ud37c\ub9c8\ucf13", "9936": "empty", "9937": "250\uba85", "9938": "\uc624\uac00\ud0a4\uc815\uacf5 \uc8fc\uc2dd\ud68c\uc0ac", "9939": "97\uc5b5\ubd88 33", "9940": "empty", "9941": "empty", "9942": "\ub0a8\uc544\uacf5", "9943": "1\uac1c \uc5c5\uc885", "9944": "empty", "9945": "\uc8fc\uc131 \uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "9946": "empty", "9947": "6", "9948": "\uc804\uc790\ud654\ud3d0 \ubd84\uacfc", "9949": "\ub0a8\uc544\uacf5, \ub3c4\ubbf8\ub2c8\uce74, \ubca0\ud2b8\ub0a8, \ud0c4\uc790\ub2c8\uc544", "9950": "\uc0dd\uccb4\uc2e0\ud638\ucc98\ub9ac \uae30\ubc18 \uc2a4\ub9c8\ud2b8 \uc548\uc804\uad00\ub9ac\uc2dc\uc2a4\ud15c ELS-aided \uce74\uba54\ub77c", "9951": "\uce74\ub4dc\ubbb4", "9952": "empty", "9953": "\uae08\uc735 \uad6d\ub0b4\u00b7\uc678 ECA(Export Credit Agency) \ubc0f \uc0c1\uc5c5\uc740\ud589", "9954": "\ub0c9\uc7a5\uace0 \uc5d0\ub108\uc9c0\ud6a8\uc728\uaddc\uc81c", "9955": "empty", "9956": "140\uba85", "9957": "empty", "9958": "13:00\uff5e14:00", "9959": "\u25b8'\uc0b0\uc5c5\uae30\uc220 R&D \ub85c\ub4dc\ub9f5", "9960": "empty", "9961": "empty", "9962": "empty", "9963": "\ucd1d83\uc885", "9964": "\uc870\uc778\uc2a4\ub2f7\ucef4 \ud68c\uc7a5 \uc804\uc790\uc0c1\uac70\ub798\uc5f0\uad6c\uc870\ud569", "9965": "2018\ub144\ud3d0\uc9c0", "9966": "4\uac00\uc9c0", "9967": "100\uc774\ud558", "9968": "empty", "9969": "12.7\uc5b5\ubd88", "9970": "\ubcf5\uc9c0\ubd80 \ud1b5\uc0c1\ud611\ub825\ub2f4\ub2f9\uad00/ \uc2dd\uc57d\ucc98 \uc758\uc57d\ud488\uc815\ucc45\uacfc", "9971": "\uc2e0\uc5d0\ub108\uc9c0, \uc804\uc790", "9972": "2,100\uc5b5\uc6d0", "9973": "30", "9974": "\ube14\ub8e8\ud22c\uc2a4 \ud578\uc988\ud504\ub9ac \uce74\ud0b7 3 (\uc8fc)\uc774\ub108\uc2a4\ud14d", "9975": "10\uc5b5~100\uc5b5 \ub2ec\ub7ec", "9976": "IT\uc11c\ube44\uc2a4\uc5c5, \uac8c\uc784\uc0b0\uc5c5, \ub514\uc790\uc778\uc0b0\uc5c5", "9977": "\uace0\ubb34 \ubc0f \ud50c\ub77c\uc2a4\ud2f1 \uc81c\ud488", "9978": "empty", "9979": "87% \uc774\uc0c1", "9980": "16\uac74", "9981": "empty", "9982": "empty", "9983": "22\uac74", "9984": "\uac00\uc815\uc6a9 \uc804\uae30\uae30\uae30, \ucf00\uc774\ube14, \uc804\ub3d9\uae30, \ubc1c\uc804\uae30 \ub4f1", "9985": "\uc911\uc559\ub300, \uae08\uc624\uacf5\ub300, \ucda9\ubd81\ub300", "9986": "\uc911\uc18c\uae30\uc5c5 \ud604\uc7a5\uc560\ub85c \ud574\uc18c", "9987": "\uc218\uc18c\uc735\ubcf5\ud569\ucda9\uc804\uc18c \uad6c\ucd95 \ubc0f \uc2e4\uc99d", "9988": "70%", "9989": "LG\ud654\ud559", "9990": "\ube44\uc6a9\uc808\uac10 \ubc0f \ub9e4\ucd9c\uc99d\ub300 \uc694\uc778", "9991": "empty", "9992": "empty", "9993": "\uacbd\uacc4\ub2e8\uacc4 \uc9c4\uc785\uc2dc", "9994": "empty", "9995": "\uc2e0\ubc1c\ub514\uc790\uc778\uacf5\ubaa8\uc804", "9996": "empty", "9997": "\uc218\ucd9c\uc6a9 \uc11d\ud0c4\uac00\uc2a4\ud654 \ubcf5\ud569\ubc1c\uc804 \uc2dc\uc2a4\ud15c", "9998": "\ub3c5\uc77c", "9999": "IT\uad50\uc721 \ubc0f \ub2f4\ub2f9\uc9c1\uc6d0 \ub2a5\ub825\uac1c\ubc1c \ud604\ud669", "10000": "\ub300\ud45c\uc774\uc0ac", "10001": "6.6", "10002": "ASP \uc11c\ube44\uc2a4 \ubc0f \ud648\ud398\uc774\uc9c0 \uc81c\uc791 \uc11c\ube44\uc2a4", "10003": "empty", "10004": "empty", "10005": "empty", "10006": "\ub86f\ub370\ub9c8\ud2b8, \uc774\ub9c8\ud2b8, \ud648\ud50c\ub7ec\uc2a4, \ud558\ub098\ub85c\ud074\ub7fd, \uc11c\uc6d0\uc720\ud1b5, \uba54\uac00\ub9c8\ud2b8", "10007": "\uc8fc\ubc30\uad00 \uc57d 184km \ubc0f \uacf5\uae09\uad00\ub9ac\uc18c \uac74\uc124", "10008": "2016\u223c2017 2018", "10009": "empty", "10010": "20\uff5e45%", "10011": "empty", "10012": "200kWh\uc774\ud558", "10013": "empty", "10014": "600 kg \ucd08\uacfc", "10015": "empty", "10016": "\uae30\uc5c5\ubcc4", "10017": "51\uac1c \ud56d\ubaa9 \ud310\uc815 \ub2e8\uacc4 9\ub2e8\uacc4 3\ub2e8\uacc4", "10018": "empty", "10019": "empty", "10020": "empty", "10021": "\uc778\uc0ac\ub9d0\uc500 *** \ubd80\uc6d0\uc7a5", "10022": "\uad6d\uc81c\uc720\uac00\uc758 \ubd88\uc548\uc815", "10023": "\uc790\uc728\uc8fc\ud589 \uc790\ub3d9\ucc28", "10024": "\ubc18\ub3c4\uccb4", "10025": "\ud55c\uad6d\ub3d9\uc11c\ubc1c\uc804", "10026": "\uc258\ud30c\uc2a4\ud398\uc774\uc2a4", "10027": "20\ub144", "10028": "\ub204\ub9ac\ud154\ub808\ucf64", "10029": "empty", "10030": "LG\uc720\ud50c\ub7ec\uc2a4", "10031": "90\uc77c", "10032": "\ud55c\ub958\ubb38\ud654 \uccb4\ud5d8 \ud589\uc0ac", "10033": "empty", "10034": "1\ub9cc\uba85, \uc124\uce58 \ubc0f A/S 4\ub9cc\uba85", "10035": "12.5% \uc99d\uac00", "10036": "empty", "10037": "\ucd08\uc815\ubc00 \uc0dd\uc0b0\uac00\uacf5 \uc2dc\uc2a4\ud15c \uce5c\ud658\uacbd \uc790\ub3d9\ucc28", "10038": "11\uc778", "10039": "empty", "10040": "22\uba85", "10041": "\uc790\ub3d9\ucc28 \uace0\ud488\uc9c8 \ud45c\uba74\uc18c\uc7ac\uc6a9 \uce5c\ud658\uacbd \uc18c\uc7ac", "10042": "empty", "10043": "empty", "10044": "empty", "10045": "\ud64d\ubcf4 \uc548\ub0b4 \uacf5\ud56d\uc548\ub0b4\ub85c\ubd07", "10046": "empty", "10047": "4,328\ubc31\ub9cc\ubd88", "10048": "32", "10049": "\ud2b9\uad6c\uacbd\uc601 \ubd84\uc57c", "10050": "1\ub2e8\uacc4 200kWh\uc774\ud558", "10051": "\uac10\uc0ac\uad00\uc2e4/\uc6b4\uc601\uc9c0\uc6d0\uacfc", "10052": "50\uac1c", "10053": "\ud55c\uad6d\uac70\ub798\uc18c", "10054": "\ub098\ub178\uc735\ud5692020\uc0ac\uc5c5\ub2e8", "10055": "\ubb3c\ub958 \ube44\uc6a9\uc744 \uc5f0\uac04 9,000\uc5b5\uc6d0 \uc808\uac10", "10056": "\ud3f4\ub9ac\uba38 \uad11\uc2a4\uc704\uce58,\ub9c8\uc774\ud06c\ub85c\uc13c\uc11c", "10057": "21\uac74", "10058": "24\uac74 18\uac1c", "10059": "\ub18d\ub9bc\ubd80", "10060": "empty", "10061": "\uc804\ub77c\ub0a8\ub3c4", "10062": "empty", "10063": "\ud3c9\uc77c 24\uc2dc\uac04", "10064": "empty", "10065": "empty", "10066": "\ub974\ub124\uc0c1\uc2a4\ud638\ud154", "10067": "\uc9c0\ub2a5\ud615 \ud734\uba38\ub178\uc774\ub4dc 2\uc871 \ubcf4\ud589 \ub85c\ubd07\uc744 \uc774\uc6a9\ud55c \uc784\ubca0\ub514\ub4dc SW \uacbd\uc5f0", "10068": "empty", "10069": "empty", "10070": "\uac70\uc81c\uc2dc\uccad 3\uce35 \ub300\ud68c\uc758\uc2e4", "10071": "\uc911\uad6d 61.8 2\uc704 \ubbf8\uad6d", "10072": "1\ub9cc6\ucc9c\uc6d0", "10073": "empty", "10074": "DB\ud658\uacbd \ubc0f \ud1b5\ud569(19%) DB\uc5d0 \ub300\ud55c \uad00\ub9ac \ubc0f \ud1b5\ud569\ud658\uacbd 20", "10075": "10% \ud560\uc778", "10076": "empty", "10077": "empty", "10078": "\uc774\uc11c\ud604 \uc11c\uc6b8\uacfc\uae30\ub300", "10079": "\ubc94\ud37c", "10080": "\uc790\ub3d9\ucc28\ubd80\ud488\uc5f0\uad6c\uc6d0", "10081": "empty", "10082": "\uc2dc\uc7a5 \ubaa8\ub2c8\ud130\ub9c1", "10083": "125.5\uc5b5\uc6d0", "10084": "empty", "10085": "\ud55c\uad6d\uc11d\uc720\uacf5\uc0ac", "10086": "105 \ud488\ubaa9", "10087": "\uc624\ud508 \ucc3d\uc870\ube44\ud0c0\ubbfc \ud504\ub85c\uc81d\ud2b8", "10088": "empty", "10089": "empty", "10090": "\uc804\uae30\uc804\uc790, \uc790\ub3d9\ucc28, \uacf5\uc0b0\ud488 \ub4f1\uc758 \uce5c\ud658\uacbd \uc81c\ud488", "10091": "41\uac1c, 200\uba85", "10092": "empty", "10093": "\ubbf8\ub798\ubd80, \uc911\uae30\uccad", "10094": "\ucc28\ubcc4\uc131 SPS\ud611\uc815 \uc81c2.3\uc870", "10095": "\ud604\ubbf8\uacbd \ub4f1", "10096": "empty", "10097": "\ucd08\ub4f1\ud559\uad50 \uc911\ud559\uad50 \uace0\ub4f1\ud559\uad50 \uad50\uc721 \ubaa9\ud45c \u2022 SW \uc18c\uc591 \uad50\uc721", "10098": "empty", "10099": "\uc911\uc11c\ubd80 \uac1c\ubc1c", "10100": "16\uac74", "10101": "57.37%", "10102": "empty", "10103": "\uc131\ub3d9\uad6c\uccad, \uc11c\ub300\ubb38\uad6c\uccad \ub300\uad6c(1\uac1c) \uc218\uc131\uad6c\uccad", "10104": "2006\ub144 \uc815\ucc45\ubaa9\ud45c \uc0c1\uc0dd\ud611\ub825 \ubd84\uc704\uae30 \ud655\uc0b0", "10105": "40000", "10106": "empty", "10107": "714\ub9cc\ub2ec\ub7ec", "10108": "2001.12", "10109": "\uc804\ub85c \ucd9c\uac15\uad6c Spray\uc7ac \uac1c\ubc1c \ud604\uae08\ubcf4\uc0c1 10\ubc31\ub9cc\uc6d0", "10110": "empty", "10111": "\uacbd\uc6d0\ub300\uc0b0\ud559\ud611\ub825\ub2e8", "10112": "10%, \uadf8\ub9ac\uace0 \ucd1d\uc218\uc785\uc561\uc758 10%", "10113": "empty", "10114": "\uc0dd\uccb4\uc2e0\ud638\ucc98\ub9ac \uae30\ubc18 \uc2a4\ub9c8\ud2b8 \uc548\uc804\uad00\ub9ac\uc2dc\uc2a4\ud15c", "10115": "\ub0a8\uc544\ud504\ub9ac\uce74\uacf5\ud654\uad6d", "10116": "empty", "10117": "10,000\uba85 500\uba85", "10118": "\ube44\uc5d0\uc2a4\uba54\ub514\uce7c", "10119": "\ubbf8\ub798\uad11\ud559", "10120": "e-\ub9c8\ucf13\ud50c\ub808\uc774\uc2a4", "10121": "1\uac1c \uc774\ub0b4", "10122": "empty", "10123": "empty", "10124": "\uc0bc\uc131\uc804\uc790(\uc8fc) \uae30\ud765\uc0ac\uc5c5\uc7a5", "10125": "empty", "10126": "empty", "10127": "empty", "10128": "empty", "10129": "\ud3fc\uc54c\ub370\ud558\uc774\ub4dc", "10130": "empty", "10131": "\uc2ec\ucc9c \ubd81\uacbd\ub3d9\uc778\ub2f9\uae30\uc220\uc720\ud55c\ud68c\uc0ac", "10132": "20", "10133": "empty", "10134": "\ubbf8\ub798 \uc218\uc1a1 \uc790\uc728 \uc790\ub3d9\ucc28", "10135": "empty", "10136": "\uc0c1\uc6a9\ud654\ub97c \uc704\ud55c \uac00\uaca9\uacbd\uc7c1\ub825 \ud655\ubcf4", "10137": "ICT\uae30\uc220\uc744 \uc811\ubaa9\ud55c \ubaa8\ub4c8\ud615 \uce68\ub300 \ud50c\ub81b\ud3fc \uac1c\ubc1c", "10138": "\ub808\uc800", "10139": "\uc0b0\uc5c5\ubd80", "10140": "empty", "10141": "empty", "10142": "empty", "10143": "\ud3ec\ud56d\uacf5\uacfc\ub300\ud559\uad50", "10144": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "10145": "empty", "10146": "\uc218\ub3c4\uad8c \uae30\uc220\uac1c\ubc1c\uc7a5\ube44 \ub4f1 200\uc5ec\uc885", "10147": "empty", "10148": "empty", "10149": "\ud504\ub808\uc784/\ubc30\uae30", "10150": "2\uc804\uc2dc\uc7a5 3\uce35", "10151": "50\ub9cc\uc6d0", "10152": "\uc0ac\uc6a9\uc790\ucde8\uae09\ubd80\uc8fc\uc758", "10153": "empty", "10154": "22\uac1c", "10155": "\uc5f0\uc138\ub300", "10156": "empty", "10157": "\uc8fc\ud55c\uc601\uad6d\ub300\uc0ac\uad00", "10158": "empty", "10159": "empty", "10160": "empty", "10161": "\uc0bc\uc131, NEC, Micron", "10162": "\ub300\u2024\uc911\uc18c \uc0c1\uc0dd\ud611\ub825 \uac15\ud654", "10163": "\uad6c\ubbf8 \uc0b0\ub2e8", "10164": "empty", "10165": "\uc0bc\uc131SDI\uc8fc\uc2dd\ud68c\uc0ac", "10166": "25\uac1c", "10167": "1,433\uc5b5\uc6d0 2,976\uc5b5\uc6d0 174\uba85", "10168": "7\uac1c", "10169": "\uc1a1\ubc30\uc804 \uc804\uae30\uc0b0\uc5c5 \ubd84\uc57c \ucca8\ub2e8 \uae30\uc220 \uc18c\uac1c \ubc0f \uc0ac\uc5c5\ud654 \uc804\ub9dd", "10170": "\uc81c\uc870\uc5c5 \ub4f1 \uae30\uc874\uc0b0\uc5c5 \uc704\uc8fc \uacbd\uc81c\uc815\ucc45", "10171": "empty", "10172": "\ud55c\uc591\ub300\ud559\uad50", "10173": "NGV", "10174": "empty", "10175": "\ubc14\uc774\uc624", "10176": "empty", "10177": "\uc11c\uc6b8 \ub300\uc804 \uc704\uce58 \ud3ec\ud56d\uacf5\ub300\uc778\uadfc \ubd80\uc0b0 \uac15\uc11c", "10178": "\uae00\ub85c\ubc8c \uae30\uc5c5\uad00", "10179": "\uac1c\ubc1c \uc81c\ud488\uc758 \uc591\uc0b0\uc131(\uc81c\ud488\ud654 \uac00\ub2a5\uc131, \uc694\uad6c\ubb3c\uc131 \ucda9\uc871 \ub4f1) \uac80\uc99d", "10180": "36.3%", "10181": "empty", "10182": "empty", "10183": "\ubca0\uc774\uc9d5\uc11c\ube44\uc2a4\uad50\uc5ed\ud68c", "10184": "23.2%", "10185": "557\uac74", "10186": "\ubcf5\uac15\uacbd \uc218\uc220\ub85c\ubd07 \ucd9c\uc2dc", "10187": "2.3%", "10188": "22%", "10189": "empty", "10190": "empty", "10191": "empty", "10192": "2\ud68c * 100\uba85 * 5\ucc9c\uc6d0", "10193": "\uc6c5\uc9c4\ucf54\uc6e8\uc774", "10194": "\uacbd\uacc4\ub2e8\uacc4 \uc9c4\uc785\uc2dc", "10195": "empty", "10196": "1\ub144 \uc774\ub0b4", "10197": "3\uac1c \uc0ac\uc5c5", "10198": "empty", "10199": "empty", "10200": "\ub300\ud615\uc218\uc18c\uc804\uae30\ud654\ubb3c\ucc28 \ubd80\ud488\uac1c\ubc1c \ubc0f \uc2e4\uc99d", "10201": "\uaddc\uaca9\uc801\ud569 \uc5ec\ubd80 \uc2dc\ud5d8", "10202": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc6d0", "10203": "50\uac1c", "10204": "0203-0204 \ubbf8\uad6d (\ub274\uc695) KOTRA", "10205": "25\ubd84", "10206": "empty", "10207": "\ud65c\uc131\ud0c4\uc18c", "10208": "\uc9c0\uc5ed\ubc00\ucc29\ud615 \uc0ac\uc5c5", "10209": "\ub514\uc54c\ube44\ud30c\ud14d", "10210": "40%", "10211": "5,500\uc5b5\uc6d0", "10212": "\uc138\uc774\ud504\uac00\ub4dc\uc81c\ub3c4 \uc131\uaca9 \u3147\ubd88\uacf5\uc815\ubb34\uc5ed \u3147\uc678\uad6d\uc218\ucd9c\uae30\uc5c5\uaddc\uc81c", "10213": "empty", "10214": "\ub300\ubd88", "10215": "5\uac1c \ubd84\uc57c\uc5d0\uc11c \uc9c0\ubd84 100%\uc758 \ud55c\uad6d\uae30\uc5c5 \uc124\ub9bd \ud5c8\uc6a9", "10216": "empty", "10217": "empty", "10218": "\ucda9\ub0a8 \ud0dc\uc591\uad11 \uc735\ubcf5\ud569 \uc0b0\uc5c5 \uc721\uc131 \uc804\ub7b5 \uad11\uc8fc", "10219": "\uc57c\uc790\uc720 \ubd80\uc0b0\ubb3c \ud65c\uc6a9 \uc5d0\ub108\uc9c0 \uc0dd\uc0b0\u300f\ub4f1 72\uac1c \uacfc\uc81c", "10220": "\ud1a0\uc9c0\uc774\uc6a9 \uaddc\uc81c\uc758 \ud569\ub9ac\ud654 2003.10\uc6d4", "10221": "\uc11c\uc6b8", "10222": "empty", "10223": "\ub3d9\ubd80\ud654\uc7ac\ud574\uc0c1\ubcf4\ud5d8\u321c", "10224": "\ud601\uc2e0\uc9c0\uc6d0\uacfc", "10225": "empty", "10226": "10,160\uc5b5\uc6d0 339\uc5b5\uc6d0 12,010\uc5b5\uc6d0", "10227": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\ubc95", "10228": "\uc870\uc778\ud14d", "10229": "\ubb34\uc5ed\uc6d0\ud65c\ud654\uc704\uc6d0\ud68c\uc758 \uc124\ub9bd \ubc0f \uad6d\ubcc4 \ubb34\uc5ed\uc6d0\ud65c\ud654\uc704\uc6d0\ud68c \uc124\ub9bd \ub610\ub294 \uc720\uc9c0\ud560 \uc758\ubb34", "10230": "13\uac1c", "10231": "\uc131\uade0\uad00\ub300 KAIST", "10232": "\uc528\ud050\ube0c\u321c", "10233": "\ub300\ud559\uc0dd,\ucde8\uc5c5\uc900\ube44\uc0dd \ubaa8\ub378 \ucee4\ub9ac\ud058\ub7fc Skill-sets \uc785\ubb38 \uacfc\uc815", "10234": "empty", "10235": "1,165\uac74", "10236": "15\uc5b5\uc6d0", "10237": "\uc774\uac15\uc218 \ub300\ud45c", "10238": "\uc9d5\uc218 \uc911\uc18c\u00b7\uc911\uacac \uae30\uc5c5", "10239": "\ud55c\uad6d\ub3d9\uc11c\ubc1c\uc804", "10240": "empty", "10241": "40\uc5b5\uc6d0 \uc774\uc0c1", "10242": "empty", "10243": "empty", "10244": "empty", "10245": "empty", "10246": "empty", "10247": "empty", "10248": "500\ud1a4", "10249": "empty", "10250": "\uc81c\uc870\uc5c5 \uc790\ub3d9\ucc28 \u00b7 \uc870\ub9bd\uacf5\uc7a5 \uc124\ub9bd\uc9c0\uc6d0 \u00b7 \ubd80\ud488\uae30\uc5c5\ubbf8\uc218\uae08 \ud574\uc18c", "10251": "empty", "10252": "\ud1b5\ud569 \uad50\ud1b5\uc218\ub2e8\uc744 \uc774\uc6a9\ud55c LBS", "10253": "empty", "10254": "4\uba85", "10255": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "10256": "empty", "10257": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "10258": "empty", "10259": "empty", "10260": "empty", "10261": "empty", "10262": "\uc804\uae30\uc804\uc790", "10263": "2\uc810", "10264": "empty", "10265": "\ubc1c\uc804\ud611\uc758\ud68c", "10266": "\ub3d9\uc544\ub300", "10267": "\uc784\uae08\uc778\uc0c1", "10268": "\uad50\uc721\ubd80 \uace0\ubd80\uac00\uac00\uce58 \ucc3d\ucd9c", "10269": "7\ub144 \uc774\ub0b4", "10270": "empty", "10271": "\uc11c\uc6b8\uad50\uc721\ubb38\ud654\ud68c\uad00", "10272": "empty", "10273": "empty", "10274": "\ub450\uc0b0\uc804\uc790", "10275": "RPS", "10276": "\uc5f0\uace0\uc0b0\uc5c5", "10277": "\uc815\ucc30\ucc28\ub7c9\uc6a9 \uc800\uc18c\uc74c \uc5f0\ub8cc\uc804\uc9c0 \ucd94\uc9c4\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "10278": "empty", "10279": "empty", "10280": "empty", "10281": "\uc911\uae30\uccad", "10282": "empty", "10283": "10.21%", "10284": "empty", "10285": "empty", "10286": "\uad6d\ub0b4 \ub18d\uae30\uacc4 \uc5c5\uccb4\uc758 \ub3d9\ub0a8\uc544 \uc9c4\ucd9c\uac70\uc810 \ud655\ubcf4", "10287": "300\uc810", "10288": "\ubc00\uc591\uc6a9\uc804 \ud2b9\ud654\ub2e8\uc9c0", "10289": "empty", "10290": "empty", "10291": "\uacbd\uc601 \ubd80\ubb38 \ub514\uc790\uc778 \uacbd\uc601\ubd80\ubb38 \uae30\uc5c5", "10292": "\uac74\uad6d\ub300\ud559\uad50", "10293": "empty", "10294": "\uacfc\uae30\uc815\ud1b5\ubd80", "10295": "empty", "10296": "\uacbd\uc601\ubd80\ubb38", "10297": "empty", "10298": "\uce84\ubcf4\ub514\uc544", "10299": "empty", "10300": "empty", "10301": "1,221.4\ubc31\ub9cc\ubd88", "10302": "34", "10303": "empty", "10304": "\uacbd\uc601\ubd80\ubb38 2003. 7.30", "10305": "empty", "10306": "empty", "10307": "empty", "10308": "16.8\uc5b5", "10309": "\uc77c\uc815\uaddc\ubaa8\uc758 \uc218\ucd9c\uc2e4\uc801\uc744 \ubcf4\uc720\ud55c \uc218\ucd9c\uae30\uc5c5\uc774", "10310": "\uc2dc\uc911 \uc740\ud589", "10311": "5\ub144", "10312": "empty", "10313": "34\ub9cc\ub300 328517 \ud504\ub77c\uc774\ub4dc,\uce74\ub2c8\ubc1c,K9 5,188\uba85", "10314": "5\uc778 \uc774\uc0c1 \uac00\uad6c", "10315": "empty", "10316": "45\uac1c\uad6d 1995\ub144", "10317": "empty", "10318": "empty", "10319": "empty", "10320": "empty", "10321": "1. \uc678\uad6d\uc778\ud22c\uc790\uc9c0\uc5ed \uc9c0\uc815\uc694\uac74 \uc644\ud654", "10322": "empty", "10323": "45\ud559\uc810\uc774\uc0c1", "10324": "\ud55c\uad6d\uac00\uc2a4\uacf5\uc0ac \ud3c9\ud0dd\uae30\uc9c0", "10325": "\ud55c\uad6d\uae30\uc220\uac70\ub798\uc18c \ucd9c\uc790\ube44\uc728 \u00b7\ud380\ub4dc\uc57d\uc815\ucd1d\uc561\uc758 20% \uc774\ub0b4", "10326": "empty", "10327": "\ubcf8\uad00 1\uce35 \ud658\ub2f4\uc7a5", "10328": "\uc6b0\ub9ac \ubd80 \uc5f0\uc554\uc2e4", "10329": "1,271\uba85", "10330": "\ud55c\uad6d\uac00\uc2a4\uc548\uc804\uacf5\uc0ac", "10331": "empty", "10332": "empty", "10333": "empty", "10334": "5\ub144", "10335": "empty", "10336": "\uc18c\uc7ac\ubd80\ud488 \uc804\ubb38\uae30\uc5c5 \uc131\uc7a5\ud1b5 \uadf9\ubcf5 \uc9c0\uc6d0", "10337": "\uc0b0\uc5c5\ubd80, \ub300\ud55c\uc0c1\uc758", "10338": "empty", "10339": "2007\ub144~2012\ub144", "10340": "\uc1a1\uc740\uc544\ud2b8\uc2a4\ud398\uc774\uc2a4", "10341": "\uc9c0\uc2dd\uacbd\uc81c\ubd80\uc7a5\uad00\uc0c1", "10342": "empty", "10343": "2012.3\uc6d4", "10344": "\uc11c\ucc9c\ud654\ub825 1\u00b72\ud638\uae30", "10345": "empty", "10346": "\uc0bc\uc591\uc0b0\uc5c5\u321c", "10347": "200\ub9cc\ub2ec\ub7ec", "10348": "empty", "10349": "\uacbd\ub0a8\uc815\ubcf4\ub300\ud559, \ud55c\uad6d\uc2f8\uc774\ubc84\ub300\ud559\uad50", "10350": "11\uba85", "10351": "empty", "10352": "\uc81c\uc8fc\uc5d0\ub108\uc9c0\uacf5\uc0ac", "10353": "empty", "10354": "223\uac1c(\uc11d\uc720\ud654\ud559 129, \uae30\uacc4 82 \ub4f1) 508\uac1c", "10355": "\uc0bc\uc131\ub514\uc9c0\ud138\ud50c\ub77c\uc790", "10356": "empty", "10357": "empty", "10358": "SEOULTECH \uc9c0\ub2a5\ub85c\ubd07", "10359": "empty", "10360": "empty", "10361": "empty", "10362": "empty", "10363": "\ucc3d\uc758\uc778\uc7ac \uacf5\uae09\uae30\ubc18 \uc870\uae30 \ud655\ucda9", "10364": "\uc0b0\uc5c5\uae30\uc220\uc815\ucc45\uad00", "10365": "\uc5f4\uc804\ub3c4\ubc18\ub3c4\uccb4 \ubc29\uc2dd\uc758 \ubb34\uc218\uc870 \uc21c\uac04\ub0c9\uc628 \uc815\uc218\uae30", "10366": "5,000\uc5b5\uc6d0", "10367": "88.4%", "10368": "empty", "10369": "10\ub144", "10370": "empty", "10371": "LG\uc804\uc790, \uc0bc\uc131\uc804\uc790 \ucd08\ub300\ud615PDP, \ub2e4\uae30\ub2a5 \ud734\ub300\ud3f0", "10372": "- \uc808\uc5f0\uc800\ud56d, \uc808\uc5f0\ub0b4\ub825", "10373": "150\uac1c\uc0ac 200\uac1c\uc0ac", "10374": "\ub2c8\ucf08", "10375": "\uc7ac\ud65c\uacfc\ud559\uae30\uc220\ud559\uacfc", "10376": "5\ubc31\ub9cc\ubd88 \uc774\uc0c1", "10377": "\ub180\ubd80", "10378": "\ud604\ub300\uc0c1\uc120", "10379": "empty", "10380": "41%", "10381": "\uac00\uc0c1 \ub124\ud2b8\uc6cc\ud06c \uce68\uc785 \ub300\uc751\uae30\uc220 \uac1c\ubc1c", "10382": "empty", "10383": "empty", "10384": "empty", "10385": "4,250\ub9cc\uc6d0", "10386": "\ud55c\uad6d", "10387": "empty", "10388": "empty", "10389": "empty", "10390": "\ud64d\uc775\ub300", "10391": "\uae40\ud604\uc120\ub514\uc790\uc778\uc5f0\uad6c\uc18c", "10392": "empty", "10393": "empty", "10394": "\ubaa8\uc758 \uba74\uc811 \uc2e4\uc2dc \ubc0f \ucee8\uc124\ud305 \ucee8\uc124\ud305\uad00 \uc774\ub825\uc11c/\uc790\uae30\uc18c\uac1c\uc11c \ud074\ub9ac\ub2c9", "10395": "empty", "10396": "\uc0c1\uc6a9\ud654\uc5d0 \ucd08\uc810\uc744 \ub85c\ubd07 SW\ud50c\ub7ab\ud3fc \uac1c\ubc1c", "10397": "\ud130\ud0a4, \uc77c\ubcf8, \uc911\uad6d", "10398": "empty", "10399": "empty", "10400": "empty", "10401": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ub300\ud559\uad50", "10402": "empty", "10403": "OECD", "10404": "\uc0ac\uc808\ub2e8 \ud30c\uacac \uc0c1\ub2f4\ud68c \uac1c\ucd5c", "10405": "\uacfc\ub3c4\ud55c \uc778\uc99d \ucde8\ub4dd\uae30\uac04 \ub2e8\ucd95 \uc694\uccad (\uae0d\uc815\uac80\ud1a0) \uc778\uc99d\uc2dc\uac04 \ub2e8\ucd95", "10406": "\uc2dd\ud488\uc548\uc804\ubc95 \uc2e4\uc2dc\uc870\ub840 \uac1c\uc815", "10407": "2014\ub144", "10408": "\ub77c\ud30c\uc2a4 CTO", "10409": "\uc804\ubd81 \uc775\uc0b0\uc2dc", "10410": "\uc2e0\uc9c0\uc2dd \uc7ac\uc0b0 \ubc1c\uad74\uacfc \uc721\uc131 \uae30\ubc18 \uad6c\ucd95", "10411": "\uc11c\uc6b8\ubd81\ubd80\uc9c0\ubd80", "10412": "\ud55c\uad6d", "10413": "\uc0b0\uc5c5\ubd80", "10414": "empty", "10415": "2\uc77c/12\uc2dc\uac04 \ud574\uc678\ub9c8\ucf00\ud305 \ub2f4\ub2f9\uc790", "10416": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "10417": "\ud55c\uc6b8\ub85c\ubcf4\ud2f1\uc2a4", "10418": "7,350\uc6d0", "10419": "705\uc5b5kWh", "10420": "\uc815\ubd80\uc0ac\uc5c5 \uc6b0\ub300", "10421": "empty", "10422": "\ucda9\ubd81 \uc624\uc1a1", "10423": "16\uc77c \uc774\ub0b4", "10424": "10.5 PT \ubc0f \uc11c\ube44\uc2a4 \uc2dc\uc5f0 \uc6f9\ud230 \uc628\ub77c\uc778 \ud22c\ud45c", "10425": "\uacfc\ud559\uae30\uc220\ubd80", "10426": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0, \uc804\uc790\ubd80\ud488\uc5f0\uad6c\uc6d0", "10427": "\uac15\uc6d0\ub3c4\uc9c0\uc0ac\uc0c1", "10428": "empty", "10429": "\uc22d\uc2e4\ub300", "10430": "\uc804\uae30\uc801 \uc548\uc804", "10431": "empty", "10432": "empty", "10433": "\uc5f0\ub8cc \uc804\uc9c0", "10434": "empty", "10435": "\uad50\ud1b5 \uc778\ud504\ub77c \u2023\ub2e4\uce74\ub974-\ub9d0\ub9ac \ucca0\ub3c4\uac74\uc124", "10436": "370,932", "10437": "\uae08\uc18d \uc0b0\uc5c5\uc6a9(\uae30\uacc4\ubd80\ud488, \uc758\ub8cc) \ub300\ub7c9\uc0dd\uc0b0 \uc801\uc6a9 \uc2dc\uc791", "10438": "01.1\uc6d4 \uc804\uc790\uc0c1\uac70\ub798 \uacfc\uc138\uae30\uc900 \ubc1c\ud45c", "10439": "empty", "10440": "11.1%", "10441": "empty", "10442": "1\uc2dc\uac04 \uc774\uc0c1 \ube44\ud589\uc774 \uac00\ub2a5\ud55c \ub2e4\ubaa9\uc801 \uc218\uc9c1\uc774\ucc29\ub959 \ube44\ud589\ub85c\ubd07 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "10443": "96\uba85", "10444": "empty", "10445": "\ud1b5\uc0c1\uc815\ubcf4\ud559\ud68c", "10446": "40\uc5b5\uc6d0", "10447": "empty", "10448": "10\uac1c \ubaa8\ub4c8 95\uac1c \ud3c9\uac00\ud56d\ubaa9\uc73c\ub85c \uad6c\uc131", "10449": "empty", "10450": "\uc77c\uc790\ub9ac\uc548\uc804\ub9dd", "10451": "\uac15\uc6d0\uad8c", "10452": "\uc911\uc18c\uae30\uc5c5 \ud22c\uc790\uc138\uc561\uacf5\uc81c", "10453": "ETRI, \ud55c\uad6d\ub85c\ubd07\uc0b0\uc5c5\ud611\ud68c", "10454": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0", "10455": "\uc9c0\uc790\uccb4\uc5f0\uad6c\uc18c\uc721\uc131\uc0ac\uc5c5", "10456": "\uc2dd\uc57d\ucc98", "10457": "empty", "10458": "empty", "10459": "\ub300\ud559 Intelligent Table Tennis Trainer \ud55c\uad6d\uc0b0\uc5c5\uae30\uc220 \ub300\ud559\uad50", "10460": "\uacfc\ud559\uc601\uc7ac\uace0\uc5d0\uc11c 100% \uc120\ubc1c", "10461": "empty", "10462": "\uc628\ub77c\uc778 \uc811\uc218", "10463": "empty", "10464": "10:00\u223c17:30", "10465": "\uacfc\uc7a5\uae09\uc774\uc0c1 \uac04\ubd80", "10466": "\uc2dd\ud488\uc6a9 \ud734\ub300\uc6a9 \ubc29\uc0ac\ub2a5\ud310\ub3c5\uae30 \uc7a5\uc560\uc778", "10467": "empty", "10468": "empty", "10469": "empty", "10470": "\ub300\ud559 \ubd80\uc124\uc5f0\uad6c\uc18c", "10471": "50%", "10472": "\uc544\ub974\ud5e8\ud2f0\ub098 1992-1997\ub144\uac04 \ubc1c\uc804\uc2dc\uc7a5\uac00\uaca9 40% \uc778\ud558 \ub3c5\uc77c", "10473": "\uc5d4\uc528\ub514", "10474": "empty", "10475": "22\ub9cc\uc6d0", "10476": "\ud654\ub825\ubc1c\uc804\uc6a9 \ud1b5\ud569 \uac10\uc2dc \uc81c\uc5b4 \uc2dc\uc2a4\ud15c\uac1c\ubc1c", "10477": "\ud574\uc678 \ud22c\uc790\ud658\uacbd\uc870\uc0ac \ucd9c\uc7a5 \uc870\uc0ac", "10478": "\uc13c\ucd94\ub9ac \uc6d0\ud558\uc5f0", "10479": "empty", "10480": "empty", "10481": "empty", "10482": "\uc758\ub8cc\uae30\uae30", "10483": "empty", "10484": "empty", "10485": "\ucd94\uc9c4\u00b7\uc810\uac80 \uccb4\uacc4 \uad6c\ucd95", "10486": "\ub300\uc0b0\uc885\ud569\uac00\uc2a4", "10487": "\ud734\ub300\uae30\uae30\uc6a9 \uace0\ud6a8\uc728 \uace0\uc790\uc18d \ub9c8\uadf8\ub137 \uc138\ub77c\ubbf9 \uc18c\uc7ac", "10488": "empty", "10489": "empty", "10490": "1", "10491": "\uadf9\ud55c \uc7ac\ub09c\ub300\uc751 \ub85c\ubd07", "10492": "empty", "10493": "Oil&Gas", "10494": "\uc0c1\ud488 \ud398\uc774\uc9c0 \uc81c\uc791 \ubc0f \uc77c\uc5b4/\uc601\uc5b4 \ubc88\uc5ed", "10495": "\ub18d\ub9bc\ubd80", "10496": "\uc9c0\ub2a5\ud615\uc790\ub3d9\ucc28", "10497": "0.3% \uc774\ud558", "10498": "empty", "10499": "empty", "10500": "empty", "10501": "empty", "10502": "\ub514\uc2a4\ud50c\ub808\uc774, \uc2a4\ub9c8\ud2b8\ud3f0 6", "10503": "8\uac1c\uad50 \ub0b4\uc678", "10504": "empty", "10505": "empty", "10506": "\uc0c1\uc0dd\ud611\ub825\uc704\uc6d0\ud68c \uc6b4\uc601, \ub3d9\ubc18\uc131\uc7a5 \uc804\ub2f4\uc870\uc9c1\uc73c\ub85c \uc0c1\uc0dd\ud611\ub825\ud300 \uc2e0\uc124", "10507": "empty", "10508": "empty", "10509": "empty", "10510": "10\uac74", "10511": "empty", "10512": "300\ub9cc\uc6d0 \uc774\ub0b4", "10513": "\ud55c\uad6d\uac70\ub798\uc18c", "10514": "empty", "10515": "empty", "10516": "empty", "10517": "\uc804\uc790\ubd80\ud488\uc5f0\uad6c\uc6d0", "10518": "empty", "10519": "30\uba85", "10520": "11.28\uc77c", "10521": "empty", "10522": "\uc7ac\uc0ac\uc6a9, \uc7ac\uc81c\uc870 \ubc30\ud130\ub9ac \ud329 \uc131\ub2a5 \ubc0f \uc548\uc804\uc131 \uc2dc\ud5d8\ud3c9\uac00 \uae30\uc220\uac1c\ubc1c", "10523": "\ub0c9\ub3d9\uacf5\uc870", "10524": "11.2%", "10525": "empty", "10526": "empty", "10527": "empty", "10528": "empty", "10529": "IMS\ub2e8\uacc4\uc5d0\uc11c\uc758 \ucca8\ub2e8\uc0dd\uc0b0\uc2dc\uc2a4\ud15c\uac1c\ubc1c", "10530": "24,434\uba85", "10531": "282", "10532": "\uadf8\ub9b0\uc5d0\ub108\uc9c0 \uc0dd\ud65c\uc81c\ud488\uad00", "10533": "empty", "10534": "empty", "10535": "\uc774\uae30\uc131", "10536": "\ud55c\uad6d\ud56d\uacf5\uc6b0\uc8fc\uc0b0\uc5c5, \ub300\ud55c\ud56d\uacf5", "10537": "\uc804\uacbd\ub828 \uc911\uc18c\uae30\uc5c5\ud611\ub825\uc13c\ud130", "10538": "KOTRA", "10539": "empty", "10540": "1\uc548(\ub204\uc9c4\uad6c\uac04 \uc644\ud654) 2\uc548(\ub204\uc9c4\ub2e8\uacc4 \ucd95\uc18c) 3\uc548", "10541": "empty", "10542": "\uc2dc\uc124 \uc81c\ud488 \uc9c0\uc6d0", "10543": "empty", "10544": "empty", "10545": "empty", "10546": "\ubc00\uc591\uc911\ud559\uad50 \uad50\uc0ac", "10547": "empty", "10548": "\uac10\uc790", "10549": "400\ub9cc \uc774\ud558", "10550": "empty", "10551": "1\ucc28\ub144\ub3c4", "10552": "2000.10.21", "10553": "empty", "10554": "empty", "10555": "\ub18d\uc5b4\ucd0c \uac70\uc810\ubcc4 \uc6b0\uc218\ud559\uad50 \uc120\uc815", "10556": "\u2463\uc2e4\uc9c1\uc790 \uc9c0\uc6d0", "10557": "empty", "10558": "\u2466\uc2e0\uc0b0\uc5c5 \uc778\ud504\ub77c \uc870\uc131 (\uc0b0\uc5c5\ubd80", "10559": "empty", "10560": "empty", "10561": "empty", "10562": "empty", "10563": "empty", "10564": "78\uac1c\uad50", "10565": "empty", "10566": "21\uac74", "10567": "empty", "10568": "20%", "10569": "\uc5f4 \ud0dd\ubc30", "10570": "KIAT \uc6d0\uc7a5\uc0c1", "10571": "\uc911\ud6155\uc18d \uc774\uc0c1 \uc790\ub3d9\ubcc0\uc18d\uae30 \ub3c5\uc790\uc124\uacc4\ub2a5\ub825 \ubbf8\ube44", "10572": "empty", "10573": "\ubbf8\ub798\ubd80", "10574": "empty", "10575": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "10576": "400 MW", "10577": "empty", "10578": "empty", "10579": "\ub2c8\ucf08\uc6a9\ucd9c\ub7c9 \ucd08\uacfc", "10580": "5\ubc31\ub9cc\uc6d0", "10581": "\uc12c\uc720\uc13c\ud130 3\uce35 \uc774\ubca4\ud2b8\ud640", "10582": "\uc911\uacac\uae30\uc5c5", "10583": "FC BUS \uc2e4\uc6a9\ud654 \uc2dc\ubc94\uc0ac\uc5c5", "10584": "empty", "10585": "empty", "10586": "\uc9c0\uc5ed\uac70\uc810\uae30\uad00 \uc9c0\uc6d0", "10587": "28%", "10588": "\uc218\uc11d\ub300\ud45c\ud68c\uc758", "10589": "\ubc18\uc6d4\uc2dc\ud654 \ubd80\ud488\uc18c\uc7ac\ud1b5\ud569\uc5f0\uad6c\ub2e8", "10590": "120 \uba85", "10591": "empty", "10592": "\uc5d0\ub108\uc9c0\uacbd\uc81c\uc5f0\uad6c\uc6d0", "10593": "\ub2e4\uc774\ud14d \uc5f0\uad6c\uc6d0", "10594": "33.7%", "10595": "\ucd5c\uc900\ud638", "10596": "18\uba85", "10597": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1 1\uba85", "10598": "empty", "10599": "empty", "10600": "empty", "10601": "9\ucc9ctoe/\ub144 \u00b7 \ube44\uc6a9\uc808\uac10 \ubc0f \uc2e0\uaddc\ub9e4\ucd9c: 31\uc5b5\uc6d0", "10602": "empty", "10603": "\ub2f4\uc591\uad70\uccad", "10604": "\uac15\ud654\uad70, \uc639\uc9c4\uad70", "10605": "\uc5c6\uc74c \ubc1c\uc5f4", "10606": "empty", "10607": "empty", "10608": "\uc0b0\uc5c5\ubd80", "10609": "empty", "10610": "\ud604\ub300\uc790\ub3d9\ucc28", "10611": "9,522\uba85", "10612": "440m \u00d7 70m", "10613": "10% 5%", "10614": "\ubaa8\ub4c8\uae30\ub2a5 \ub9cc\uc871\ub3c4", "10615": "empty", "10616": "\uc644\uc131\ucc28, \ubd80\ud488\uc5c5\uacc4 \uad00\uacc4\uc790 16\uba85", "10617": "\uadf8\ub79c\ub4dc \uc778\ud130\ucee8\ud2f0\ub128\ud0c8 \ud638\ud154", "10618": "empty", "10619": "\uc0b0\uc5c5\ubd80", "10620": "empty", "10621": "SLA", "10622": "1\uba85", "10623": "empty", "10624": "\ud328\ub110 (16.3\uc6d4) \ubc18\ub364\ud551", "10625": "empty", "10626": "empty", "10627": "\ubb34\uc5ed \uc0c1\ub300\uad6d\uacfc \uad00\uacc4 \uc5b8\uae09 \uc5c6\uc74c", "10628": "1997 \uc9c0\uc6d0 (\uc8fc)\uc720\uc9c4\uc0ac\uc774\uc5b8\uc2a4", "10629": "\ubbf8\uad6d", "10630": "\ud658\uacbd\uc815\ucc45\ud3c9\uac00\uc5f0\uad6c\uc6d0", "10631": "\ud56d\uacf5\uc0b0\uc5c5 \ud22c\uc790\ud3ec\ub7fc", "10632": "852871 \uc14b\ud0d1\ubc15\uc2a4", "10633": "23kg", "10634": "\uacc4\uce21\uae30\uae30", "10635": "empty", "10636": "\ud55c\uad6d\uc0b0\uc5c5\ub2e8\uc9c0\uacf5\ub2e8", "10637": "\ubb34\uc778\uae30\uc6a9 OIS 5X \uc90c", "10638": "empty", "10639": "empty", "10640": "empty", "10641": "\ucee4\ubba4\ub2c8\ucf00\uc774\uc158 \uac15\ud654", "10642": "\uc790\ub3d9\ucc28 \ub808\uc774\uc800 \ud5e4\ub4dc\ub77c\uc774\ud2b8\uc6a9 \ud615\uad11\uccb4 \uc138\ub77c\ubbf9", "10643": "\uc138\ub77c\ubbf9", "10644": "empty", "10645": "\uae30\uc220\uc131 \ubc0f \uac1c\ubc1c\ub2a5\ub825", "10646": "5\uac1c \uc9c0\uad6c 8.56", "10647": "empty", "10648": "\uace0\ub824\ub300\ud559\uad50", "10649": "\uc73c\ub738\uae30\uc5c5", "10650": "empty", "10651": "\uccad\uc8fc\ub300", "10652": "25.3%", "10653": "10.0", "10654": "\ub0a9", "10655": "empty", "10656": "empty", "10657": "6\uc778\uc6a9 \uc774\ud558", "10658": "41.6", "10659": "10\uc5b5\uc6d0 \ub0b4\uc678", "10660": "\uc81c\uc8fc\uc5ec\uc131\uad50\uc721\ubb38\ud654\uc13c\ud130 \uac15\ub2f9", "10661": "\ubd80\uc0b0\ud14c\ud06c\ub178\ud30c\ud06c", "10662": "empty", "10663": "\uc9c0\uc5ed\ud76c\ub9dd \uc77c\uc790\ub9ac\ubc15\ub78c\ud68c", "10664": "15\ub144", "10665": "OTTD \ub300\ud559\uc5f0\ud569", "10666": "\uacc4\ub2e8", "10667": "empty", "10668": "232\uac74", "10669": "DED", "10670": "empty", "10671": "\uc5ec\uc131\ubcf5", "10672": "\ub0a9 \ucd94\uac00", "10673": "\ud14c\uc774\ube14 \ub9ac\ud504\ud2b8\uce74", "10674": "5\uc6d4", "10675": "\ud55c\uc804\uc804\ub825 \uc5f0\uad6c\uc6d0", "10676": "\ubd80\uc0b0\ub300\ud559\uad50", "10677": "\uc911\uae30\uccad", "10678": "empty", "10679": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "10680": "\uc5d8\uc9c0\uc804\uc790", "10681": "empty", "10682": "empty", "10683": "2\uac1c\uc18c", "10684": "empty", "10685": "\ubc14\uc774\uc624\ubca4\ucc98\ud0c0\uc6b4", "10686": "\ub7f0\ub2dd\uba38\uc2e0", "10687": "empty", "10688": "empty", "10689": "empty", "10690": "empty", "10691": "empty", "10692": "14\uac1c \uc778\uc99d\uae30\uad00 \uc778\uc815", "10693": "\ub370\uc774\ud130\uc800\uc7a5\ubd84\uc57c", "10694": "empty", "10695": "\uc218\uc694\ub300\uc751\ud615 \uac00\uc0c1\ubc1c\uc804 & ESS", "10696": "empty", "10697": "empty", "10698": "\uc804\uc790\ud30c, \uacbd\ub3c4, \ubc29\uc0ac\uc120 \u3147\uc720\uacf5\uc790\ud45c\ucc3d", "10699": "7\uc77c", "10700": "empty", "10701": "empty", "10702": "359,867\u33a1", "10703": "\uc5f0\ub8cc\uc804\uc9c0\uc6a9 LNG \uc694\uae08\uc81c \uc2e0\uc124 \ubc1c\uc804\ucc28\uc561\uc9c0\uc6d0\uc81c\ub3c4\ub97c \uae30\uc900\uac00\uaca9\uccb4\uacc4\ub85c \uac1c\uc120", "10704": "empty", "10705": "\ubbf8\ub798\ubd80", "10706": "\uc11c\uc778\uc6d0", "10707": "\uac00\uc2a4\ub3c4\uc785\ud310\ub9e4\uc0ac\uc5c5(\uc790) - \uac00\uc2a4\uc124\ube44\uc0ac\uc5c5", "10708": "\ubca0\ud2b8\ub0a8", "10709": "13\ub144", "10710": "R&D PHEV \uac1c\ubc1c\uc77c\uc815 \ub2e8\ucd95 \ubc0f \uc870\uae30\uc591\uc0b0", "10711": "\uc544\ub0a0\ub85c\uadf8\ud1b5\uc2e0", "10712": "\ud658\uacbd \uce5c\ud654\ud615 \uc120\ubc15 \ubc0f \ud575\uc2ec \ubd80\ud488 \uae30\uc220 \uac1c\ubc1c", "10713": "empty", "10714": "\ub0a8\ubd80\ubc1c\uc804 \ud558\ub3d9\ud654\ub825", "10715": "empty", "10716": "\ud0d5\uc815, \ud30c\uc8fc \ub4f1 \uc0b0\uc5c5\uc9d1\uc801\ub2e8\uc9c0 \ud074\ub7ec\uc2a4\ud130 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uac01\uc885 \uaddc\uc81c \uc644\ud654", "10717": "\ub4dc\ub77c\uc774\ube0c \ud2b8\ub808\uc778 \uad6c\ub3d9 \ubc29\uc2dd \uac1c\uc120", "10718": "empty", "10719": "empty", "10720": "\uacbd\uae30\ub3c4, \uc2dc\ud765\uc2dc \uad70\uc0b0 \uad70\uc0b0\ub300, \uc804\ubd81\ub300, \ud638\uc6d0\ub300, \uad70\uc7a5\ub300", "10721": "empty", "10722": "\uc8fc\uac70\uac74\ubb3c\uc758 \uc628\uc218\uae09\ud0d5\uacfc \ub09c\ubc29 \ubc0f \ub0c9\ubc29\uc744 100% \uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0\ub85c \uacf5\uae09", "10723": "empty", "10724": "empty", "10725": "\ubbf8\uad6d", "10726": "\uc804\uae30\uc2dc\uc124 \ud30c\uad34\u00b7\ubcf5\uad6c \ub3c4\uc0c1\ud6c8\ub828", "10727": "empty", "10728": "empty", "10729": "7\uc5c5\uccb4", "10730": "empty", "10731": "empty", "10732": "\ubbf8\uad6d \uce90\ub9ac\uc5b4", "10733": "empty", "10734": "empty", "10735": "empty", "10736": "\ubbfc\uac04\ud22c\uc790 \uc911\uc2ec\uc73c\ub85c \uac1c\ubc1c", "10737": "empty", "10738": "empty", "10739": "empty", "10740": "empty", "10741": "empty", "10742": "empty", "10743": "\uc911\uc18c\uae30\uc5c5\uc9c4\ud765\uacf5\ub2e8 \uacbd\uae30\ubd81\ubd80\uc9c0\ubd80", "10744": "8 \uac1c\uad6d", "10745": "empty", "10746": "empty", "10747": "empty", "10748": "empty", "10749": "1.1\ud1a4", "10750": "empty", "10751": "empty", "10752": "100% \uc99d\uac00", "10753": "empty", "10754": "3\uac1c\uc6d4", "10755": "empty", "10756": "\uc9c0\uc5ed\ubc1c\uc804 5\uac1c\ub144\uacc4\ud68d", "10757": "empty", "10758": "empty", "10759": "empty", "10760": "\uc911\ub0a8\ubbf8 \uc911\ub3d9 \uc544\ud504\ub9ac\uce74", "10761": "empty", "10762": "\ubb3c\ub958, \uc7a5\ube44\uc81c\uc870", "10763": "\ubc18\ub3c4\uccb4", "10764": "empty", "10765": "empty", "10766": "13\uac1c\uad6d 22\uac1c", "10767": "\ud55c\uad6d\ud45c\uc900\ud611\ud68c", "10768": "\uad50\ud1b5\uc601\ud5a5\ud3c9\uac00", "10769": "empty", "10770": "empty", "10771": "20\uff5e30\uc5b5\uc6d0 \ub0b4\uc678", "10772": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "10773": "\ud55c\uad6d \uc9c0\ub2a5\ud615\uad50\ud1b5\uccb4\uacc4 \ud611\ud68c\u201d \uc124\ub9bd", "10774": "30\uc5b5\ubd88 \uaddc\ubaa8\ub85c \ud655\ub300, \uc5f0\uad00 \uc11c\ube44\uc2a4 \uc2dc\uc7a5 \ucc3d\ucd9c", "10775": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "10776": "\ub864\ub9c8\ud0b9 \uac15\uc885 \ud45c\uc2dc \uac1c\uc120", "10777": "empty", "10778": "empty", "10779": "10\uc810) \u25aa\uc0ac\uc5c5\ubaa9\ud45c \uba85\ud655\uc131 \ubc0f \ud0c0\ub2f9\uc131 10", "10780": "2010\ub144", "10781": "25,000\uc2dc\uac04", "10782": "empty", "10783": "\ud589\uc548\ubd80", "10784": "\uad6d\ub0b4 \uc9c0\ubc29 \uc784\ub300\ub8cc\uc758 \uacbd\uc6b0 \uc911\uad6d\uc758 3\uff5e4\ubc30 \uc218\uc900", "10785": "12\uc6d4", "10786": "2\ubc30 \uc99d\uac00", "10787": "5\uac1c", "10788": "empty", "10789": "70W \uc774\uc0c1 150W \ubbf8\ub9cc", "10790": "empty", "10791": "1,497\uc6d0 14,366\uc6d0", "10792": "\uc138\uc774\ud504\uac00\ub4dc\uc81c\ub3c4 \uc131\uaca9 \u3147\ubd88\uacf5\uc815\ubb34\uc5ed \u3147\uc678\uad6d\uc218\ucd9c\uae30\uc5c5\uaddc\uc81c", "10793": "\uc720\ub3c4\uac00\uc5f4\ubc29\uc2dd(\uc778\ub355\uc158) \ubcf5\uc0ac\ubc29\uc2dd(\ud558\uc774\ub77c\uc774\ud2b8) \uc804\ub3c4\ubc29\uc2dd", "10794": "empty", "10795": "1\uc2dc\uac04 \uc774\uc0c1 \ube44\ud589\uc774 \uac00\ub2a5\ud55c \ub2e4\ubaa9\uc801 \uc218\uc9c1\uc774\ucc29\ub959 \ube44\ud589\ub85c\ubd07 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "10796": "\uc131\uade0\uad00\ub300", "10797": "\ubb38\uc138\ud638", "10798": "empty", "10799": "empty", "10800": "empty", "10801": "1.0", "10802": "34\uac1c\uad6d", "10803": "\uc6b8\uc0b0 \ub0a8\ubd80", "10804": "\uc218\uc11d\uc5f0\uad6c\uc6d0", "10805": "\ud55c\uc9c4", "10806": "\ubc84\ucee4\ub8e8", "10807": "empty", "10808": "empty", "10809": "\uc0ac\ub791\uc0d8\uacf5\ub3d9\uccb4", "10810": "\uc77c\ubcf8", "10811": "empty", "10812": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1 *** \uc804\ubb34", "10813": "\ud604\ub300\uc790\ub3d9\ucc28", "10814": "empty", "10815": "empty", "10816": "30\uc5b5\uc6d0 2\uc5b5\uc6d0", "10817": "empty", "10818": "10\uc5b5\uc6d0 30\uc5b5\uc6d0 1\uc5b5\uc6d0", "10819": "empty", "10820": "empty", "10821": "\ub18d\ub9bc\ubd80", "10822": "\ud45c\uc900\ubb3c\uc9c8", "10823": "\ub300\ub9cc, \uc911\uad6d\uc0b0 \uc800\uac00 \uad6d\ub0b4 \ub300\ub7c9 \uc720\uc785", "10824": "\u2023 \uac1c\ubcc4\uae30\uc220\uc774 \ubcf5\ud569\ub41c \uc2dc\uc2a4\ud15c \ud45c\uc900 \uac1c\ubc1c", "10825": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "10826": "empty", "10827": "1670 \uc218\ucd9c \uacbd\uc7c1\ub825 \uc57d\ud654 1\uadf8\ub8f9", "10828": "empty", "10829": "empty", "10830": "\uc5f0\uc18c\ud6c4 \ucc98\ub9ac", "10831": "31\uac74 28\uac74", "10832": "\uce58\uacfc\uc6a9 \uad11\uacbd\ud654\uc131 3D \ud504\ub9b0\ud130 \u321c\ub374\ud2f0\uc2a4", "10833": "empty", "10834": "45%", "10835": "empty", "10836": "28\uac74 27\uac74", "10837": "empty", "10838": "\ud06c\ub8e8\uc15c\ud14d", "10839": "empty", "10840": "\uc0ac\ubb3c\ub180\uc774", "10841": "1 *** \ub300\ud45c \u321c\ubd88\uc2a4\ube0c\ub85c\ub4dc\ubc34\ub4dc", "10842": "\uc138\uc728\uc815\ubcf4 \uae30\ubcf8\uad00\uc138, FTA\ud611\uc815\uc138", "10843": "\ubaa8\ub378\uacf5\uc7a5 \uad6c\ucd95", "10844": "empty", "10845": "empty", "10846": "empty", "10847": "empty", "10848": "empty", "10849": "empty", "10850": "empty", "10851": "\uba74\uc811 \ub9e4\ub108 \ubc0f \ud45c\uc815\uad00\ub9ac \ucee8\uc124\ud305", "10852": "empty", "10853": "empty", "10854": "56%", "10855": "\uc804\ub825\uc0b0\uc5c5\uacfc", "10856": "empty", "10857": "1,000\uba85", "10858": "125,437 \uac1c\uc18c", "10859": "300\ub9cc\uc6d0", "10860": "empty", "10861": "\uc81c\uc870\uc5c5 -\ubbf8\ud654 1,000\ub9cc\ubd88 \uc774\uc0c1 \ubb3c\ub958\uc5c5", "10862": "\ucda9\ubd81 \uc81c\ucc9c\uc2dc \ubd09\uc591\uc74d \uc0bc\uac70\ub9ac 949\ubc88\uc9c0 \uc77c\uc6d0", "10863": "193\uac1c", "10864": "371\uc5b5\uc6d0", "10865": "empty", "10866": "25.1\uc810", "10867": "empty", "10868": "empty", "10869": "\uace0\ub824\ub300\ud559\uad50", "10870": "1 2,000\ub9cc\uc6d0", "10871": "\ubc15\uc2b9\uc6b0", "10872": "\ud654\ud559\uc81c\ud488", "10873": "10", "10874": "\uc9c0\uacbd\ubd80", "10875": "empty", "10876": "\uc12c\uc720\ub958", "10877": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "10878": "empty", "10879": "\uc0bc\uc131\ucf54\ub2dd \uc5b4\ub4dc\ubc34\uc2a4\ub4dc\uae00\ub77c\uc2a4", "10880": "empty", "10881": "empty", "10882": "2010.10 \uc77c\ubcf8", "10883": "\ud45c\uba74\ucc98\ub9ac", "10884": "50% \uc774\ud558", "10885": "empty", "10886": "empty", "10887": "\ud574\uc678 \uae00\ub85c\ubc8c \uc2dc\uc7a5\uc5d0\uc11c \ud1b5\uc6a9\ub418\ub294 \ud45c\uc900 PM \uc9c0\uc2dd \ubc0f \uae30\uc220\uc5d0 \ub300\ud55c \uae30\ubcf8 \uc5ed\ub7c9\uc744 \ubc30\uc591", "10888": "10.0% \uc774\uc0c1", "10889": "\uc5d0\uc5b4\ucee8, \uc5f4\ud38c\ud504 \ubcf4\uc77c\ub7ec", "10890": "13\uc885", "10891": "\uacf5\uc5f0 \uccb4\ud5d8\uc7a5 (3F Pollux) \ud589\uc0ac\uacf5\uc5f0\uc7a5", "10892": "5\ub144\uac04 $ 7M", "10893": "empty", "10894": "10% \uc774\uc0c1", "10895": "\ud574\uc678\uc2dc\uc7a5 \uc9c4\ucd9c\uc9c0\uc6d0", "10896": "\uc911\uc9c4\uacf5", "10897": "\uccad\uc8fc, \ud601\uc2e0\ub3c4\uc2dc, \uc99d\ud3c9, \uad34\uc0b0, \ucda9\uc8fc \uc77c\uc6d0", "10898": "30", "10899": "\uc804\ubd81TP", "10900": "1\ub144 \uc774\ub0b4", "10901": "empty", "10902": "2000\ub14412\uc6d4", "10903": "empty", "10904": "\uc0bc\uc131\ubb3c\uc0b0", "10905": "empty", "10906": "empty", "10907": "\ucca8\ub2e8\u00b7\ud601\uc2e0\uae30\uc220 \uac1c\ubc1c\uc5d0 \uacf5\uc774 \ud070 \uc790", "10908": "10\uc5b5\uc6d0 \ub0b4\uc678 3\uff5e5\ub144 \uc774\ub0b4", "10909": "\uc804\ub0a8\uc5ec\uc218\uc5d0 \ud569\uc131\uace0\ubb34 \uc81c\uc870\uacf5\uc7a5 \uc124\ub9bd", "10910": "empty", "10911": "empty", "10912": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "10913": "\ud48d\ub825\ubc1c\uc804\ud130\ube48 1\uc704", "10914": "\ub514\uc790\uc778\uae30\ubc18\uad6c\ucd95", "10915": "empty", "10916": "empty", "10917": "\uc911\uae30\uc911\uc559\ud68c", "10918": "\uae30\uc740", "10919": "empty", "10920": "empty", "10921": "\uc218\uc11d\ub300\ud45c\ud68c\uc758", "10922": "\ud76c\uc18c\uae08\uc18d \uc0b0\uc5c5\uc721\uc131 \uc778\ud504\ub77c\uad6c\ucd95", "10923": "\ucd1d\uc0ac\uc5c5\ube44\uc758 50%", "10924": "\uc12c\uc720", "10925": "\u2460\uc815\ubd80R&D \uc6b0\uc120 \uc9c0\uc6d0", "10926": "empty", "10927": "\ub86f\ub370\ud638\ud154 \uba74\uc138\uc810 4\ub9cc\uc6d0 \uc120\ubd88\uce74\ub4dc \uc99d\uc815, \uc2e0\ub77c\uc2a4\ud14c\uc774", "10928": "80\uc5b5 \u2192 \uac74\uc124 200\uc5b5, \uac1c\ubcf4\uc218 120\uc5b5", "10929": "empty", "10930": "\uc74c\uc131\uc778\uc2dd", "10931": "\uc804\uae30\uc804\uc790", "10932": "\ub300\uad6c\ubb34\uc5ed\ud68c\uad00 4\uce35 \ub300\ud68c\uc758\uc2e4", "10933": "empty", "10934": "\uc140\ud2b8\ub9ac\uc628 \uc5d4\ud130\ud14c\uc778\uba3c\ud2b8", "10935": "empty", "10936": "empty", "10937": "\uc2dc\uc0c1\uc791 2\ubc30\uc218", "10938": "empty", "10939": "\ud22c\uc790\uac00 \uc9c0\uc6d0\uc758\uc9c0 \ud45c\uba85", "10940": "\uace0\uc6a9\ubd80 (5\uac1c) \uc0b0\uc5c5\ub2e8\uc9c0\ud615 \uacf5\ub3d9 \uc9c1\uc7a5 \uc5b4\ub9b0\uc774\uc9d1", "10941": "9\uac1c\uc6d4-12\uac1c\uc6d4", "10942": "RCEP \uc7a5\uad00\ud68c\uc758", "10943": "empty", "10944": "empty", "10945": "empty", "10946": "empty", "10947": "empty", "10948": "empty", "10949": "\ud3ec\uc2a4\ud14d", "10950": "empty", "10951": "\ud604\ub300\uc885\ud569\uc0c1\uc0ac", "10952": "empty", "10953": "75 mg/kg \uc774\ud558", "10954": "empty", "10955": "empty", "10956": "2014.1/4", "10957": "91%", "10958": "empty", "10959": "2", "10960": "\uc6d0\uc18c\uc7ac, \ubcf5\ud569\uc18c\uc7ac, \ubd80\ud488\ud654 \ub4f1 \ub2e8\uacc4\ubcc4 \uacf5\ud1b5\ub09c\uc81c \ud574\uacb0", "10961": "100\ub9cckW \ubbf8\ub9cc", "10962": "\uac24\ub7ec\ub9ac\uc544\ubc31\ud654\uc810", "10963": "empty", "10964": "\uc5d0\ub108\uc9c0\uc218\uc694\uad00\ub9ac \ud655\ub300", "10965": "empty", "10966": "\uc12c\uc720\ub958", "10967": "empty", "10968": "2\ub144", "10969": "empty", "10970": "empty", "10971": "empty", "10972": "empty", "10973": "3\ub144 \uc774\ub0b4", "10974": "-S, A, B, C, D \ub4f1 5\uac1c \ub4f1\uae09 \ubd80\uc5ec", "10975": "\uac74\uc124\u0387\uc778\ud504\ub77c", "10976": "3\ub144", "10977": "empty", "10978": "\ubbf8\ub798\ubd80, \uc0b0\uc5c5\ubd80 \uacf5\ub3d9 \uc81c\ub3c4\uc124\uba85\ud68c, \uc628\ub77c\uc778 \ud64d\ubcf4 \ub4f1 \ucd94\uc9c4", "10979": "empty", "10980": "empty", "10981": "3% \uc774\ub0b4", "10982": "empty", "10983": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0 1\uc778\ub2f9 2\ucc9c\ub9cc\uc6d0", "10984": "\uad00\ub9ac\uc790 \uc911\uc2ec", "10985": "\ud45c\uba74\uc5d0 \uc624\uc5fc\ubb3c\uc9c8\uc744 \uace0\ucc29\uc2dc\ucf1c\uc11c \ud45c\uba74 \uc190\uc0c1 \ubc29\uc9c0\uc6a9 \uc81c\ud488", "10986": "empty", "10987": "empty", "10988": "empty", "10989": "empty", "10990": "35\uba85", "10991": "\uc804\ubd81 \uad70\uc0b0 \uc870\uc120\ud611\ub825\uc0ac", "10992": "empty", "10993": "LG\ud654\ud559", "10994": "empty", "10995": "empty", "10996": "empty", "10997": "\uc804\uc790\ubb34\uc5ed \uc804\ubb38\uac00 \uacfc\uc815", "10998": "empty", "10999": "empty", "11000": "\ucc9c\uc5f0\uc790\uc6d0\uc815\ucc45\uacfc\uc758 \uc870\ud654, \uacbd\uc81c\uac1c\ubc1c\uacc4\ud68d\uacfc \uc5f0\ub3d9", "11001": "200\uac1c\uc0ac", "11002": "empty", "11003": "1\ub9cc1\ucc9c\uc6d0", "11004": "1\uac1c\uc0ac", "11005": "\uc8fc\uc2dd\ud68c\uc0ac(\ucc3d\ud22c\uc0ac)\ud615 (\uc6b0\ub9ac\ub098\ub77c) \uc720\ud55c\ud68c\uc0ac\ud615", "11006": "empty", "11007": "\uba55\uc2dc\ucf54", "11008": "\uc6b8\uc0b0", "11009": "empty", "11010": "\uc774\ucc28\uc804\uce58", "11011": "empty", "11012": "empty", "11013": "5\ucc9c\uc5b5 \ub2ec\ub7ec", "11014": "empty", "11015": "10\uac1c\uc0ac", "11016": "empty", "11017": "R&D / \uc138\uc81c", "11018": "empty", "11019": "\uad6d\uc678 \uc804\ubb38\uac00 \uae30\uc870\uac15\uc5f0(Dr. Larry Johnson) 317\ud638", "11020": "empty", "11021": "empty", "11022": "empty", "11023": "empty", "11024": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "11025": "\uac74\uc124 \ubc0f \ud1a0\ubaa9 \uace0\ubd80\uac00\uac00\uce58 \ud50c\ub79c\ud2b8 \ubc0f \uc81c\uc870\uc5c5", "11026": "\uc6b8\uc0b0", "11027": "empty", "11028": "\ub2e8\uc21c\ucc38\uad00\uc740 \uc81c\uc678", "11029": "20% \ud560\uc778", "11030": "\uac74\uad6d\ub300", "11031": "empty", "11032": "\uc9c0\uacbd\ubd80", "11033": "\ud55c\uad6d\uc0b0\uc5c5\ub2e8\uc9c0\uacf5\ub2e8", "11034": "empty", "11035": "empty", "11036": "\uace0\uae09 \uc18c\ube44\uc7ac\uad00", "11037": "IT \uc735\ubcf5\ud569 \uc9c0\uc2dd\uae30\ubc18 \uc11c\ube44\uc2a4 \uc704\uc8fc\uc758 \ucca8\ub2e8\uc0b0\uc5c5 \uc721\uc131 \uc0b0\uc5c5\ub2e8\uc9c0 \ucc3d\uc6d0", "11038": "empty", "11039": "empty", "11040": "\uc790\ub3d9\ucc28 \ubd80\ud488 \ubc14\uc774\uc624 \uc18c\uc7ac", "11041": "empty", "11042": "empty", "11043": "18kW", "11044": "\uc911\uad6d \uc774\ub79c\ub4dc", "11045": "empty", "11046": "12.10(\ud654) \ubca0\ub97c\ub9b0", "11047": "empty", "11048": "empty", "11049": "empty", "11050": "empty", "11051": "\uc911\uad6d", "11052": "10 \ud300", "11053": "10\uc5b5\uc6d0/\ub144", "11054": "empty", "11055": "\uae30\uc5c5\uc740\ud589", "11056": "empty", "11057": "empty", "11058": "\uad6d\ubb34\ucd1d\ub9ac\ud45c\ucc3d", "11059": "\uae30\ud0c0\ubc30\uc804\ubc0f\uc81c\uc5b4\uae30", "11060": "empty", "11061": "1\ub300", "11062": "10\uc5b5\uc6d0", "11063": "20%\uc774\uc0c1 15%\uc774\uc0c1", "11064": "empty", "11065": "empty", "11066": "95(\uac1c) 29.2%", "11067": "\ubcbd\uc0b0", "11068": "11.1%", "11069": "empty", "11070": "\ucd5c\uc2b9\ud6c8 \ub300\ud45c\uc774\uc0ac", "11071": "\ucd5c\ub300 23\ubc31\ub9cc\uc6d0 \uc774\ub0b4 \uc2dc\uc124\uc790\uae08\uc758 70\uff5e90%\uc9c0\uc6d0", "11072": "empty", "11073": "empty", "11074": "100\ubd84\uc758 20 \uc774\uc0c1 100\ubd84\uc758 5 \uc774\uc0c1", "11075": "66\uac74", "11076": "empty", "11077": "28.2 14.5", "11078": "\uc7ac\ub2e8\uacf5\uc815 \uc218\ud589\uad6d \ud604\ud589\uae30\uc900\uacfc \ub3d9\uc77c", "11079": "134.8\ub9cckW 3.76\ud1a4", "11080": "empty", "11081": "100\uc5b5\uc6d0 \uc774\uc0c1 200\uc5b5\uc6d0 \ubbf8\ub9cc", "11082": "225\uac1c", "11083": "empty", "11084": "empty", "11085": "644", "11086": "95.1 72.2", "11087": "\ud558\ub178\uc774 \ubca1\uc2a4\ucf54", "11088": "\uae30\uacc4\uae30\uc220\uacfc IT \uc811\ubaa9, \ucc3d\uc5c5\uae30\uc5c5 \uc785\uc9c0\uacf5\uac04 \ud655\ucda9", "11089": "40\uac1c\uad6d", "11090": "empty", "11091": "\ub450\uc0b0 \uacf5\uc791\uae30\uacc4", "11092": "empty", "11093": "empty", "11094": "\ud604\ub300\uc911\uacf5\uc5c5, \ud6a8\uc131, LS\uc0b0\uc804, \uc77c\uc9c4\uc804\uae30", "11095": "\ub86f\ub370", "11096": "empty", "11097": "4\ub144", "11098": "6\ub300 \ubd84\uc57c 22\uac1c \uc2e0\uc131\uc7a5\ub3d9\ub825 \uc5d0\ub108\uc9c0\u00b7\ud658\uacbd", "11099": "empty", "11100": "empty", "11101": "empty", "11102": "\uccad\uc8fc\ub300", "11103": "24\uba85", "11104": "\ub099\uc0c1\uac10\uc9c0\uae30, \uc704\uce58\ucd94\uc801\uae30 \ub4f1 \uae30\ub2a5\uce21\uc815\uae30\uae30", "11105": "empty", "11106": "\uac00\ubc1c, \uc12c\uc720, \uc758\ub958\ubd09\uc81c", "11107": "\ucc9c\uc5f0\uc790\uc6d0 \ubc0f \uc5d0\ub108\uc9c0 \uc0dd\uc0b0", "11108": "empty", "11109": "empty", "11110": "\ucc3d\ub9bd\uae30\ub150\uc77c, \uc7a5\uae30\uadfc\uc18d\uc790, \ud1f4\uc9c1\uc608\uc815\uc790\uc5d0 \ub300\ud55c \uae30\ub150\ud488 \uc9c0\uae09 \ucd95\uc18c\u2027\ud3d0\uc9c0", "11111": "14%\uc774\ub0b4", "11112": "135\uac1c\uad6d", "11113": "\uc18c\ud1b5\u00b7\ucc38\uc5ec", "11114": "4", "11115": "empty", "11116": "40\uc5ec\uba85", "11117": "empty", "11118": "\ub300\uae30\uc5c5 \ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 33% \uc774\ud558", "11119": "\u321c\ub8e8\ud2b8\ub85c\ub2c9", "11120": "\ud50c\ub85c\ud305(floating) UI", "11121": "\ud0dc\uad6d", "11122": "\ud55c\uc804\uae30\uc220", "11123": "2\ucc9c\ub9cc\uc6d0", "11124": "\ud0d5\uc815, \ud30c\uc8fc \ub4f1 \uc0b0\uc5c5\uc9d1\uc801\ub2e8\uc9c0 \ud074\ub7ec\uc2a4\ud130 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uac01\uc885 \uaddc\uc81c \uc644\ud654", "11125": "empty", "11126": "\ud55c\uc804\uae30\uc220", "11127": "\uc870\ub9bd\uae08\uc18d\uc81c\ud488", "11128": "\uc5f0\uc131\uc801\uce35\ud310\uc6a9 \uc804\ud574\ub3d9\ubc15", "11129": "empty", "11130": "\ubb3c\uc9c8 \uc120\uc5b8", "11131": "10\ub144 \uc774\uc0c1 2\ub144 \uc774\ub0b4 3\ub144 \uc774\ub0b4", "11132": "empty", "11133": "empty", "11134": "empty", "11135": "empty", "11136": "\uc218\ub825\ubc1c\uc804\uc6a9 \ub300\ud615\uc8fc\uac15\ud488", "11137": "\uacbd\ucc30\uccad", "11138": "\ucca0\uac15 5\ub144", "11139": "empty", "11140": "\ud3ec\ud130\ube14 \uad11\ud559\uc2dd \uc74c\uc8fc\uce21\uc815\uae30 \uac1c\ubc1c", "11141": "\uc138\ub77c\ubbf9", "11142": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "11143": "28%", "11144": "3\ucc9c\ub9cc\uc6d0 1\uc778\ub2f9 2\ucc9c\ub9cc\uc6d0", "11145": "\uc1a1\ub3c4 \uad7f \ub9c8\ucf13", "11146": "empty", "11147": "\uc11c\ub0a8\ud574\uc548 \ud48d\ub825\uc0b0\uc5c5 \ud5c8\ube0c \uad6c\ucd95\uc0ac\uc5c5", "11148": "empty", "11149": "10\ub144 \uc774\uc0c1 2\ub144 \uc774\ub0b4 3\ub144 \uc774\ub0b4", "11150": "\uc911\uad6d\uc73c\ub85c", "11151": "\uc778\ub825\uc591\uc131", "11152": "empty", "11153": "15\uc5b5\uc6d0 \uc774\uc0c1 ~ 40\uc5b5\uc6d0 \uc774\ub0b4", "11154": "\uc131\uade0\uad00\ub300", "11155": "\uc2a4\ub9c8\ud2b8 TV", "11156": "10%", "11157": "empty", "11158": "\ucc28\ub7c9\uc548\uc804\uad00\ub828 \uc8fc\ud30c\uc218 \uae30\uc220\uae30\uc900 \uc815\ube44, \uc5d0\ub108\uc9c0 \uc808\uac10/\uce5c\ud658\uacbd Green \uac74\uc124", "11159": "\uc2dc\uc7a5\uc131 30", "11160": "empty", "11161": "empty", "11162": "11.24(\ubaa9) \ub9ac\ube44\uc544 \uc720\ub825 \ubc1c\uc8fc\ucc98 \uc0c1\ub2f4\ud68c", "11163": "\ub178\uc2a4\uce90\ub864\ub77c\uc774\ub098 \uc8fc\ub9bd\ub300", "11164": "LG\ud654\ud559", "11165": "empty", "11166": "2\ub144 \uc774\ub0b4", "11167": "empty", "11168": "empty", "11169": "empty", "11170": "\uc778\ub3c4\ub124\uc2dc\uc544 \uc790\uce74\ub974\ud0c0 2017.9 \uc601\uad6d \ub7f0\ub358", "11171": "\ud55c\uad6d\ud56d\uacf5\uc6b0\uc8fc\uc5f0\uad6c\uc6d0", "11172": "empty", "11173": "empty", "11174": "\ucc28\ub7c9\uc5f0\ube44\uac1c\uc120\uc744 \uc704\ud55c \uc7ac\uc0dd\uc5d0\ub108\uc9c0 \uc751\uc6a9\uc2dc\uc2a4\ud15c", "11175": "\uc0bc\uad11\uc804\uc790", "11176": "empty", "11177": "COEX 3\uce35 \ucee8\ubca4\uc158\ud640", "11178": "26.1 13.8", "11179": "\uc0b0\uc5c5\ubd80", "11180": "empty", "11181": "empty", "11182": "34\uac1c", "11183": "empty", "11184": "11.1%", "11185": "20\uc5b5\uc6d0", "11186": "WAP", "11187": "\uc6b0\ud3b8\ubc95\uc2dc\ud589\ub839 \uc81c3\uc870\uc81c6\ud638", "11188": "empty", "11189": "\uae30\uc5c5\uc815\ubcf4 DB \uad6c\ucd95", "11190": "empty", "11191": "empty", "11192": "empty", "11193": "\ud55c\uad6d\uc9c0\uc9c8\uc790\uc6d0 \uc5f0\uad6c\uc6d0", "11194": "empty", "11195": "empty", "11196": "empty", "11197": "\uc11c\uc6b8", "11198": "STX\uc870\uc120\ud574\uc591(\uc8fc) \ubd80\uc7a5 *** 1967 21\ub144 1\uc6d4", "11199": "\uc804\uc790\uc0b0\uc5c5\uc9c4\ud765\ud68c", "11200": "empty", "11201": "\uc774\uaddc\ud0dd", "11202": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c \uc790\ub3d9\ucc28", "11203": "empty", "11204": "empty", "11205": "\ub9ac\ud2ac\uc774\uc628, \ub9ac\ud2ac\ud3f4\ub9ac\uba38", "11206": "empty", "11207": "empty", "11208": "4\uc77c", "11209": "\uccad\uc8fc\ub300\ud559\uad50", "11210": "8,830\ub9cckW", "11211": "69\ubc31\ub9cc\uc6d0", "11212": "empty", "11213": "empty", "11214": "\uc804\uc790\ubd80\ud488\uc5f0\uad6c\uc6d0", "11215": "Con. N. U", "11216": "1 \uc7a5\uad00\uc0c1", "11217": "empty", "11218": "empty", "11219": "empty", "11220": "empty", "11221": "\uc218\uc694\uc790\uc6d0 \uac70\ub798\uc2dc\uc7a5 \uc911\uc7a5\uae30 \uc721\uc131 \uccad\uc0ac\uc9c4", "11222": "\uc5d0\ub108\uc9c0\uad6d\uc81c\uacf5\ub3d9\uc5f0\uad6c\uc0ac\uc5c5", "11223": "\uc720\ud55c\ud0b4\ubc8c\ub9ac\u321c", "11224": "empty", "11225": "\ub2e4\uc6b0\uc815\ubcf4\ud1b5\uc2e0", "11226": "\uc11c\ubbfc, \uc5d0\ub108\uc9c0\ube48\uace4\uce35 \ubd80\ub2f4\uc644\ud654", "11227": "empty", "11228": "\uad6d\uacfc\uc5f0", "11229": "empty", "11230": "\uc815\uae30\uac1c\ucd5c \uc6d0\uce59, \ud544\uc694\uc2dc \uc218\uc2dc \uac1c\ucd5c", "11231": "EUREKA", "11232": "empty", "11233": "empty", "11234": "empty", "11235": "6\ub300 \ubd84\uc57c 22\uac1c", "11236": "empty", "11237": "empty", "11238": "170\ub9cc\uba85", "11239": "\uc804\uc8fc\ub300", "11240": "\ud55c\ubc2d\ub300", "11241": "empty", "11242": "empty", "11243": "empty", "11244": "empty", "11245": "empty", "11246": "empty", "11247": "empty", "11248": "empty", "11249": "\uc6d0\uc790\ub825\ud611\ub825\ud611\uc815 \uccb4\uacb0", "11250": "\uc11c\uc6b8 \uba85\ub3d9 \ub4f1 3\uac1c\uc18c", "11251": "\uae30\uacc4\u00b7\uc18c\uc7ac \uba40\ud2f0 \uc2dc\uc2a4\ud15c \uc5d0\uc5b4\ucee8 \ubd80\ud558\ub9e4\uce6d \uc6b4\uc804\uc81c\uc5b4 \uae30\uc220 \uc5d8\uc9c0\uc804\uc790", "11252": "empty", "11253": "\uc6d4 \uc218\uc2e0\ub8cc", "11254": "167", "11255": "1\uc77c\ucc28", "11256": "empty", "11257": "\ubcf5\uc9c0", "11258": "487\uc5b5\uc6d0", "11259": "\uad6d\ubc29\ubd80 \uad00\ub828 \ubd80\ub300 \ubcf5\ubb34 \uc5f0\uacc4", "11260": "\uc18c\ubc29\uc2dc\uc124 \uc124\uce58\u2027\uc720\uc9c0 \ubc0f \uc548\uc804\uad00\ub9ac\uc5d0 \uad00\ud55c \ubc95\ub960", "11261": "empty", "11262": "27.3%", "11263": "empty", "11264": "9\ub144 \uc774\ub0b4", "11265": "empty", "11266": "empty", "11267": "\ubc29\ub958\uc218 \uc218\uc9c8\uae30\uc900 \uac1c\uc120\uc5d0 \ub530\ub978 \uc790\uc5f0\ubcf4\uc804\uad8c\uc5ed \uaddc\uc81c\uc644\ud654", "11268": "\ud654\uc12c\ubd84\uacfc", "11269": "empty", "11270": "37 28 32 97\uac74", "11271": "1996\ub144 1993\ub144", "11272": "empty", "11273": "\uc54c\uc564\uc5d8\ubc14\uc774\uc624", "11274": "3\ub144 \uc774\ub0b4", "11275": "2/3 \uc774\ub0b4", "11276": "\uae30\uacc4\ubd80\ud488", "11277": "\uc5d0\ucf70\ub3c4\ub974) \ub300\ud55c\ubbfc\uad6d\uc57d\uc804 \uc778\uc815\uc744 \ud1b5\ud55c \uc758\uc57d\ud488 \uc218\ucd9c \uac04\uc18c\ud654", "11278": "\uc9c0\ub2a5\ub85c\ubd07", "11279": "\uc720\uae30\ub18d \uc81c\ud488", "11280": "\uc0b0\uc5c5\uc790\uc6d0\ubd80 \uc815\ubcf4\ud1b5\uc2e0\ubd80", "11281": "empty", "11282": "\uc644\uad6c\uc0b0\uc5c5 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uc2a4\ub9c8\ud2b8 ToyWeb \uc11c\ube44\uc2a4 \uae30\uc220 \uac1c\ubc1c", "11283": "\uc735\ud569\ud615 \ub9c8\uc774\ud06c\ub85c\uadf8\ub9ac\ub4dc \uc801\uc6a9\u318d\uc548\uc815\ud654 \ubc29\uc548", "11284": "empty", "11285": "\ub3c4\uc0c1\ud604 \uc5ec\uc131\ubcf5", "11286": "empty", "11287": "\uacfc\ud559\uae30\uc220\uc5ec\uc131\uc778\uc7ac \uc544\uce74\ub370\ubbf8", "11288": "empty", "11289": "20% \uc774\uc0c1", "11290": "6. \ud398\ub8e8", "11291": "empty", "11292": "empty", "11293": "\uc804\uc790\uac70\ub798\ud611\ud68c", "11294": "empty", "11295": "10", "11296": "empty", "11297": "empty", "11298": "empty", "11299": "10\uac1c\uc0ac/ 2,900\uc5ec\uba85", "11300": "empty", "11301": "empty", "11302": "empty", "11303": "\uc7ac\uc0ac\uc6a9, \uc7ac\uc81c\uc870 \ubc30\ud130\ub9ac \ud329 \uc131\ub2a5 \ubc0f \uc548\uc804\uc131 \uc2dc\ud5d8\ud3c9\uac00 \uae30\uc220\uac1c\ubc1c", "11304": "empty", "11305": "empty", "11306": "\ud504\ud0c8\ub808\uc774\ud2b8\uacc4 \uac00\uc18c\uc81c", "11307": "10kW 30\ubbf8\ub9cc 7,600\uc6d0", "11308": "\ud589\uc815\uc790\uce58\ubd80", "11309": "\ud14c\ud06c\ub180\ub85c\uc9c0 \ub514\uc790\uc778\uacfc 3D\ud504\ub9b0\ud305 \uae30\uc220\uc758 \uc735\ud569\uc744 \ud1b5\ud574 \uc2a4\ub9c8\ud2b8\ub514\uc790\uc778 \ubd84\uc57c \uc804\uc2dc", "11310": "24.5", "11311": "\uc9c0\uacbd\ubd80", "11312": "1\uc548(\ub204\uc9c4\uad6c\uac04 \uc644\ud654) 2\uc548(\ub204\uc9c4\ub2e8\uacc4 \ucd95\uc18c) 3\uc548", "11313": "\uc601\ub0a8\ub300", "11314": "\uad00\uc138\ubc95 WTO\ubc18\ub364\ud551\ud611\uc815", "11315": "25\uac1c\uc0ac", "11316": "\ud55c\uc804KPS", "11317": "\uae40\uc138\ud5cc \ub300\ud45c", "11318": "empty", "11319": "\uc804\ub825 \uc6d0\uc790\ub825", "11320": "empty", "11321": "2006.6.23", "11322": "7\uc6d4 \uc911", "11323": "empty", "11324": "17\uac1c \ubd80\ucc98", "11325": "\ud55c\uc804KPS", "11326": "empty", "11327": "empty", "11328": "empty", "11329": "empty", "11330": "458\uc5b5\uc6d0", "11331": "\uc694\uae08\ud560\uc778 \uc2e0\uccad", "11332": "empty", "11333": "empty", "11334": "4.8\uc870\uc6d0", "11335": "\ud30c\ub098\ub9c8", "11336": "\ud55c\uc804 \uc5d0\ub108\uc9c0\ubc38\ub9ac \ud22c\uc790 \ud611\uc57d\uc2dd", "11337": "empty", "11338": "\uc815\ucc45\uac1c\ubc1c", "11339": "30%", "11340": "empty", "11341": "empty", "11342": "5\ub144", "11343": "\ud55c\ubbf8\ubc18\ub3c4\uccb4", "11344": "2\uc871 \ubcf4\ud589 \ub85c\ubd07\uc744 \uc774\uc6a9\ud55c \uc784\ubca0\ub514\ub4dc SW \uacbd\uc5f0", "11345": "25.3%", "11346": "empty", "11347": "empty", "11348": "\ucda9\ub0a8\ub300 \uc0b0\ud559\ud611\ub825\ub2e8", "11349": "empty", "11350": "empty", "11351": "empty", "11352": "empty", "11353": "empty", "11354": "\uc790\ub3d9\ucc28 \ubc0f \ud06c\ub808\uc778 \ub85c\ubd07", "11355": "\uacbd\uc601\uc790\ubb38\ub2e8", "11356": "\ud45c\uba74\ubcc0\ud615\ub960 \ud45c\uba74\ubcc0\ud615\ub960 \ubd84\ud574\ub2a5 \u00b120MPa", "11357": "empty", "11358": "2021\ub144 1~4\uc6d4", "11359": "3\uff5e4\ud559\ub144", "11360": "\ud55c\uae30", "11361": "empty", "11362": "empty", "11363": "empty", "11364": "3060", "11365": "\uc0ac\uacfc \uc2a4\ud53c\ucee4", "11366": "empty", "11367": "300\uba85", "11368": "\ud55c\uad6d \uadf8\ub7f0\ud3ec\uc2a4\ud38c\ud504", "11369": "empty", "11370": "empty", "11371": "empty", "11372": "&D \uc6b0\uc218\uc131\uacfc \uc815\ub9ac \ucc45\uc790 \ubc1c\uac04", "11373": "empty", "11374": "\ub300\uaddc\ubaa8 \uace0\uc6a9\ucc3d\ucd9c\ud615", "11375": "204\uc5b5\uc6d0", "11376": "\uad11\uc6d0 \ubc0f \uad11\uc804\uc18c\uc790", "11377": "\ud0dc\uc591\uad11, \ud48d\ub825, \uc218\uc18c\u00b7\uc5f0\ub8cc\uc804\uc9c0, \ubc14\uc774\uc624 \uc815\ubd80\uc8fc\ub3c4", "11378": "\uc720\ud1b5\uc0b0\uc5c5 \uc138\ubbf8\ub098", "11379": "\ud604\ub300\uc258\ubca0\uc774\uc2a4\uc624\uc77c", "11380": "13\uac1c \ud300", "11381": "empty", "11382": "\uc544\uc2a4\ud0c0\ub098 \uc0dd\uba85\uacf5\ud559\uc13c\ud130 \uac74\uc124", "11383": "empty", "11384": "empty", "11385": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0", "11386": "\ud55c\uad6d\uc678\ud658\uc740\ud589", "11387": "empty", "11388": "empty", "11389": "300\uac1c", "11390": "empty", "11391": "empty", "11392": "empty", "11393": "empty", "11394": "empty", "11395": "\ud55c\uad6d \uc911\uad6d", "11396": "\uc0b0\uc5c5\uae30\uc220 \uce68\ud574\ud589\uc704 \ucc98\ubc8c \uac15\ud654", "11397": "\ud569\uc131\uc11d\uc720, \uac00\uc2a4,\uce74\ubcf8\ube14\ub799", "11398": "\ud3c9\ud654\ud640\ub529\uc2a4", "11399": "empty", "11400": "2014.6 \uad6d\ud1a0\ubd80", "11401": "empty", "11402": "\ubbf8\ub798 \uc790\ub3d9\ucc28\uc758 \uacbd\uc7c1\ub825 \uac15\ud654", "11403": "empty", "11404": "\ub808\uc774\uc800 \uce21\uc815\uae30", "11405": "empty", "11406": "empty", "11407": "\uccad\uc815\uc11d\ud0c4\uc5d0\ub108\uc9c0", "11408": "\uc778\ud130\ub137 \ubc30\uc1a1\uc911\uac1c\uc2dc\ud15c(e-Agency) \uad6c\ucd95 \uae30\uc220", "11409": "150\uac1c\uc0ac", "11410": "empty", "11411": "\uad6d\ubbfc\ud3b8\uc775 \uae30\uc220\ubd80\ubb38", "11412": "empty", "11413": "empty", "11414": "empty", "11415": "empty", "11416": "800\ub9cc\ub2ec\ub7ec", "11417": "empty", "11418": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "11419": "empty", "11420": "\uc11c\uc6b8\uc608\uc220\ub300\ud559\uad50", "11421": "empty", "11422": "IT\uc735\ud569 \ud655\uc0b0\uc804\ub7b5", "11423": "09:30\u223c10:30", "11424": "634", "11425": "\ud0dc\uc885 \uc528\uc564\uc544\uc774", "11426": "\uc1a1\uc815\uc815\uc218\uc7a5", "11427": "\ud55c\uad6d\uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "11428": "\ucf00\uc774\ud53c\ud06c\ub808\ud53c\uc544", "11429": "empty", "11430": "empty", "11431": "empty", "11432": "empty", "11433": "KETI", "11434": "empty", "11435": "\uc8fc\uac70\uae30\ub2a5", "11436": "empty", "11437": "\uc911\uad6d", "11438": "empty", "11439": "\uc11c\uc6b8\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "11440": "\ub450\uc0b0\uc911\uacf5\uc5c5, \ud604\ub300\uc911\uacf5\uc5c5, \ub300\uc6b0\uac74\uc124, \ud604\ub300\uac74\uc124", "11441": "\uc5f4\ucc98\ub9ac \uc2a4\ub9c8\ud2b8 \uc9c8\ud654\uacf5\uc815 \uc2dc\uc2a4\ud15c", "11442": "\uc7ac\ud65c\uacfc\ud559\uae30\uc220\ud559\uacfc \ud504\ub85c\uadf8\ub7a8 \uac1c\uc124\u00b7\uc6b4\uc601", "11443": "7\uc5c5\uccb4", "11444": "40.4", "11445": "1\ub144 \uc774\ub0b4", "11446": "empty", "11447": "empty", "11448": "empty", "11449": "empty", "11450": "\ud601\uc2e0\ud615\uc911\uc18c\uae30\uc5c5 \ubc29\uc1a1\uad11\uace0\ube44 \ud560\uc778", "11451": "0.9\ubc31\ub9cc$ 1999.12", "11452": "empty", "11453": "\uc911\uad6d \ud574\uc678\ud65c\uc6a9 \uc9c0\uc6d0\uc13c\ud130 \uac1c\uc18c\uc2dd \u2219\uad11\uc800\uc6b0, \ud150\uc9c4, \ub2e4\ub80c", "11454": "\uc218\ub3c4\uad8c \uc778\uc811\uc9c0\uc5ed (\uc124\ube44)6%", "11455": "\ub9ac\ud3ec\ud305\ud234", "11456": "\uc790\ub3d9\ucc28 \u25b6\uc9c0\ub2a5\ud615 \uc5f0\ub8cc\uc804\uc9c0\uc790\ub3d9\ucc28", "11457": "56.8\ub9cckW", "11458": "\uc5d8\ub9ac\uba58\ud0c8\uc0ac\uac00", "11459": "empty", "11460": "10:00-12:00 \ubb34\uc5ed\uc13c\ud13051\uce35", "11461": "\uad6c\ubbf8", "11462": "empty", "11463": "empty", "11464": "\ucc3d\uc6d0\uc804\uc2dc\uc7a5", "11465": "\ud615\uad11 \ub7a8\ud504", "11466": "empty", "11467": "\uace0\uc18d \uc785\uccb4 \ub80c\ub354\ub9c1 \uae30\uc220", "11468": "33% \uc774\ud558", "11469": "\uace0\uac1d(30%) \uace0\uac1d\uc758 e-\ube44\uc988\ub2c8\uc2a4 \uc900\ube44\ub3c4 \ubc0f \uc778\ud504\ub77c 10", "11470": "empty", "11471": "empty", "11472": "\uc11d\uc720\uc81c\ud488", "11473": "empty", "11474": "empty", "11475": "\ud55c\uad6d\uc9c0\uc9c8\uc790\uc6d0 \uc5f0\uad6c\uc6d0", "11476": "15.9\uc5b5\ubd88", "11477": "20MW", "11478": "empty", "11479": "11.3\uc5b5\ubd88", "11480": "\uc18c\uc124 \ubbf8\ub514\uc5b4 \ud074\ub77c\uc6b0\ub4dc \uc5f0\uad6c", "11481": "27.2% 16.3%", "11482": "\uc911\uad6d(1\ucc28) 3\uc6d4\ud558\uc21c - \ubca0\uc774\uc9d5", "11483": "\ud1b5\uacc4\uccad\uc7a5", "11484": "100%", "11485": "empty", "11486": "\ud55c\uad6d\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "11487": "empty", "11488": "19,404\u33a1", "11489": "empty", "11490": "\ub514\uc9c0\ud138 \ud14d\uc2a4\ud0c0\uc77c \uae30\uc220 \uac74\uad6d\ub300", "11491": "empty", "11492": "\uc2dc\ub9ac\uc6b0\uc2a4\uc18c\ud504\ud2b8", "11493": "\uc5b4\ud328\ub7f4, \uc0b0\ud559\ud611\ub3d9, \ubd80\uc790\uc7ac", "11494": "POS\ub4f1 \uc720\ud1b5\uc815\ubcf4\ud654, \ubb3c\ub958\ud45c\uc900\ud654, \uc804\ubb38\uc0c1\uac00\ub2e8\uc9c0 \uac74\ub9bd\ub4f1", "11495": "empty", "11496": "empty", "11497": "\ud55c\uad6d\uc804\ud1b5\uad00 -\ud55c\ubcf5, \ud55c\uc9c0, \ud55c\uc2dd \ub4f1 \uc804\ud1b5\ubb38\ud654 \uc18c\uac1c \uc9c0\uacbd\ubd80", "11498": "\uc720\uc9c4\uae30\uc5c5(\uc8fc) \uad11\uc591\uacf5\uc7a5", "11499": "\u321c\ub098\ubaa8\uc778\ud130\ub799\ud2f0\ube0c", "11500": "\uc911\uacac\uae30\uc5c5", "11501": "\ud55c\uc591\ub300\ud559\uad50" }