{ "0": "\uc800\uc18c\ub4dd \ucde8\uc57d\uacc4\uce35 \uc9c0\uc6d0", "1": "\ubbf8\uc2e4\uc2dc", "2": "109\uad8c", "3": "40\u2019", "4": "empty", "5": "130\uac1c", "6": "\uc774\uc0c1\uc5c6\uc74c", "7": "\ud1a0\uc694\uac15\uc5f0 \ubc0f \uc628\ub77c\uc778 \uac15\uc5f0", "8": "20mm", "9": "68.5", "10": "15\uac1c", "11": "\ub4f1\ucd0c4\uc885\ud569\uc0ac\ud68c\ubcf5\uc9c0\uad00", "12": "15,868,600\uc6d0", "13": "empty", "14": "empty", "15": "15", "16": "20", "17": "\ud0f1\ud06c\ucc28", "18": "\ubd80\ub2f9\uc774\ub4dd\uae08, \uc18c\uae09\uacb0\uc81c \ubc0f \ubcf4\uac15\uacb0\uc81c \uc81c\uacf5\uae30\ub85d\uc9c0 \ubbf8\uae30\uc785 \ub4f1", "19": "\uc9c0\ud558\ucca0\uc81c1\ud638\uc120\ucc29\uacf5\uae30\ub150\uc6b0\ud45c", "20": "\uc218\uc775\uc0ac\uc5c5\ube44 \uc9d1\ud589\uc794\uc561", "21": "6\uce35", "22": "empty", "23": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "24": "\ubd80\uc2dd\ubc29\uc9c0\uc870\uce58 \uc5ec\ubd80", "25": "\uc655\uc2ed\ub9ac119\uc548\uc804\uc13c\ud130", "26": "\uac15\ub3d9\uad6c \uace0\ub355\ub3d9 \uc77c\ub300", "27": "\ud68c\uacc4\uad00\ub9ac, \uc0ac\ubb34\ubcf4\uc870, \ubb38\uc11c\uad00\ub9ac", "28": "empty", "29": "\ubc95\ub839\uc5d0 \ub530\ub978 \uc11c\uc2dd\uc744 \uc774\uc0c1\uc5c6\uc774 \uc791\uc131 \ubc0f \uc81c\ucd9c", "30": "2021.06.15(\ud654)", "31": "CD 1\ubd80\uc2ec\uc758\uc758\uacb0\ubcf4\uc644\uc11c 6\ubd80", "32": "21.5.6.", "33": "19:30~08:30", "34": "2", "35": "\ub3c4\uc2dc\ud0d0\uc0c9 \uc778\uba85\uad6c\uc870", "36": "empty", "37": "4.14.", "38": "60 13\uba85", "39": "\uc7a0\uc2e4\ud55c\uac15\uacf5\uc6d01\uac1c\uc18c", "40": "\uc608\uc0b0\ud68c\uacc4\uc758 \uc6d0\uce59\uacfc \uc9d1\ud589\ubc29\ubc95 \ubc0f \uc808\ucc28", "41": "\uae30\ud6c4\ubcc0\ud654\ub300\uc751\uacfc", "42": "\uadfc\ub85c \uae30\uc900\ubc95", "43": "2021.5.25.(\ud654)", "44": "\ud1f4\uacc4\uc6d0\uadf9\ub3d9\uc544\ud30c\ud2b8.\uc138\ub780\ubcd1\uc6d0.\uc628\ub204\ub9ac\ubcd1\uc6d0", "45": "3\uce35 \uc625\uc0c1", "46": "\ud2b9\uc774\uc0ac\ud56d\uc5c6\uc74c", "47": "\ub178\uc6d0\uad6c \uc6d4\uacc4\ub3d9 \uc77c\ub300", "48": "empty", "49": "\ub0a8\ub300\ubb38\uacbd\ucc30\uc11c\uc0dd\ud65c\uc548\uc804\uacfc", "50": "\ubc31\uc0c9\u2192\uccad\uc0c9", "51": "empty", "52": "2021. 4. 3.(\ud1a0) 07:30 ~", "53": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \ub179\uc0c9\uc81c\ud488 \uad6c\ub9e4\ucd09\uc9c4\uc5d0 \uad00\ud55c \uc870\ub840", "54": "\ud504\ub85c\uadf8\ub7a8 \uc791\ub3d9 \uc0c1\ud0dc, VMS \uc11c\ubc84 \uc124\uce58 \uc815\ubcf4, DB \uc810\uac80, VMS \ucf58\uc194 \uc218\ub7c9 \ud655\uc778 \ub4f1", "55": "\uc81c\uc548\uc11c \ud3c9\uac00\uc704\uc6d0\ud68c", "56": "\uc9d1\ud569 13\uc2dc\uac04", "57": "\uc885\ub85c\uad6c", "58": "empty", "59": "\uc6b4\uc601\uc9c0\uc6d0\uacfc,\uae30\ud68d\uc7ac\uc815\ub2f4\ub2f9\uad00", "60": "2020\ub144 \uce58\ub9e4\uc548\uc2ec\uc13c\ud130 \uc6b4\uc601", "61": "3\uac1c", "62": "empty", "63": "14\uac1c\uc18c", "64": "30H", "65": "\ud654\uc7ac\uc758 \uc608\ubc29\uc870\uce58 \ub4f1\uc5d0 \uad00\ud55c \uc0ac\ud56d", "66": "83\ub3d9", "67": "empty", "68": "\uc790\uc704\uc18c\ubc29\ub300 \uad6c\uc131 \ub4f1 \uc548\uc804\uad00\ub9ac\uc790 \uc5c5\ubb34\uc218\ud589 \ud655\uc778\ud568", "69": "\ub808\uc9c0\uc624\ub12c\ub77c\uc99d", "70": "10\uc5b5\uc6d0", "71": "\uad50\ub7c9\uc548\uc804\uacfc", "72": "100", "73": "\uc548\uc804\ucd1d\uad04\uc2e4", "74": "\ud65c\uc131\ud0c4\ud761\ucc29\uc9c0\uc5d0 \uc0ac\uc6a9", "75": "\uace0\uc6d0\ucd08\ub4f1\ud559\uad50", "76": "4\uac1c", "77": "\ud1a0\ud30c\uba54\ub4dc\uc815 100mg", "78": "\ud604\uc218\ub9c9, \uc548\ub0b4\ubb38 \uac8c\uc7ac \ub4f1", "79": "\uae30\uc874\uadfc\ubb34\uc2dc\uac04", "80": "2021-11", "81": "\ub9e4\uc6d4 \ub9c8\uc9c0\ub9c9\uc8fc \ubaa9\uc694\uc77c", "82": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44", "83": "\ud559\uc2b5\ud6a8\uacfc", "84": "2021. 5.13.(\ubaa9)", "85": "\uacf5\uac04 \uc131\uaca9 \ubc0f \ud2b9\uc131\uacfc \ubd80\ud569 \ud558\ub294\uac00?", "86": "\uae30\ucd08 \uc2dc\u00b7\uad70\u00b7\uad6c", "87": "\uac15\ub3d9\uad6c", "88": "empty", "89": "empty", "90": "\uc2dc\uc120\uc774 \ub9cc\ub098\ub2e4", "91": "\uacf5\ubb34 \uc9c0\ud5581\uce35", "92": "PT\ubc1c\ud45c", "93": "\ubd80\uc11c\ubc29\ubb38", "94": "475\ud638", "95": "\uc0dd\ud65c\uccb4\uc721\uc2e4", "96": "\uc2dc\ub0b4\ubc84\uc2a4 \uc6b4\uc1a1\uc0ac\uc5c5 \uc778\uac00\u00b7\ubcc0\uacbd, \ub178\uc120\uc870\uc815 \ubbfc\uc6d0\uc778 \uba85\ubd80", "97": "\uc18c\ubc29\uacc4\ud68d\uc11c \uc791\uc131\ucca0\uc800\ud1a0\ub85d \uc9c0\ub3c4\ud568", "98": "\uc11c\uc6b8\uc2dc \uccad\ub144\uc13c\ud130 \ub3d9\ub300\ubb38 \uc624\ub791 \ubc29\ubb38\uae30", "99": "\ud2b8\ub9ac\ub9c8\ub3cc", "100": "\ud55c\uad6d\uacbd\uc81c\uc2e0\ubb38\uc0ac, \uc11c\uc18c\ubb38\uc5ed\uc0ac\uacf5\uc6d0", "101": "\uacf5\uc0ac \ucde8\uc18c\ub85c \uc774\uc124\uacf5\uc0ac \ucde8\uc18c", "102": "\uc0ac\uc801 \uc81c10\ud638", "103": "65\ubd84", "104": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "105": "\uc8fc\uc2dd\ud68c\uc0ac\ub9c8\uc744\uc720\ud76c", "106": "\uc801\ud569", "107": "\uae083,295,470\uc6d0", "108": "\uc0ac\uc774\ubc84", "109": "empty", "110": "\uc885\ub85c\uad6c \uad00\ub0b4 \ud6a8\uc790\ubc30\uc218\ubd84\uad6c", "111": "\ub4f1\uae30\ubd80\ub4f1\ubcf8", "112": "\uc1a1\ud48d\ub3d9 \uc0ac\ubb34\uc2e4 \uc785\uad6c \uc815\ube44", "113": "100,000\uc6d0", "114": "\ud45c\uc2dd 2\uac1c", "115": "\uc2e0\ud638\ucf00\uc774\ube14 \uad50\uccb4", "116": "\uc5c5\uccb4\ubcc4\uc81c\uc548\ubc1c\ud45c", "117": "\ubd80\uc11c\uc7a5 \ubc0f \uc9c1\uc6d0 \uccad\ub834\uad50\uc721\uc774\uc218", "118": "\ubcf4\uc721\uad50\uc0ac1\uae09 \uc2b9\uae09\uad50\uc721", "119": "258,350,000\uc6d0", "120": "\uac10\uc5fc\uad00\ub9ac", "121": "30\uc2dc\uac04 \uc774\uc0c1 16\uc2dc\uac04 \uc774\uc0c1 2\uc2dc\uac04 \uc774\uc0c1 2\uc2dc\uac04 \uc774\uc0c1 10\uc2dc\uac04", "122": "\ub124\uc774\ubc84 \ub77c\uc774\ube0c\ubc29\uc1a1 \uc9c4\ud589", "123": "\uacfc\ucc9c\uc81c1802\ud638", "124": "\uc11c\uc6b8\uc2dc \ubc0f \uc790\uce58\uad6c", "125": "2021. 5. 21.(30)", "126": "\ube44\ub9cc", "127": "15 \ub9c8\ub300", "128": "\uc11c8115", "129": "6. 10.(\ubaa9) ~ 6. 11.(\uae08)", "130": "400", "131": "CD\uc81c\uacf5", "132": "\uc9c0\uc0c1\uc2dd", "133": "\uc2dd\ub2f9", "134": "\uc5ec\uc131\uac00\uc871\ubd80", "135": "\uad6c\uc870\ubc84\uc2a4(\uc131\ub3d9100\ud638)\ucc28\ub7c9 \ud310\uae08 \ubc0f \ub3c4\uc0c9", "136": "\ucd1d \uad6c\ub9e4\uc758 1%\uc774\uc0c1(\uacf5\uc0ac\uc81c\uc678) \ucd1d \uad6c\ub9e4\uc758 5%\uc774\uc0c1", "137": "65,000\uc6d0", "138": "\ub9c8\uc744\uc5b8\ub355\uc0ac\ud68c\uc801\ud611\ub3d9\uc870\ud569", "139": "30", "140": "15\uc810", "141": "10\uc810", "142": "\uc0c8\ub4e4\ubcfc\ud2b8", "143": "\uaf43\ud53c\ub294 4\uc6d4\uc758 \uacf5\uc6d0 \uc990\uaca8\uc694~", "144": "75\uba85", "145": "empty", "146": "\ub9c8\ub85c\ub2c8\uc5d0 \uacf5\uc6d0 \uc88b\uc740 \uacf5\uc5f0 \uc548\ub0b4\uc13c\ud130 \ub2e4\ubaa9\uc801\ud640", "147": "\uadf8\ub8f9A", "148": "10\uc810", "149": "2021.4.21.(\uc218) 17:00", "150": "\ub2e4\ub7ad\uc774\ub17c, \uc791\ubb3c\uc18c\uac1c \ub4f1", "151": "\uc218\uc18c\uc790\ub3d9\ucc28 \ubcf4\uae09 \uc5c5\ubb34 \ucd94\uc9c4", "152": "\uc18c\ubc29\ud589\uc815\uacfc\uc7a5", "153": "\ud559\uad50\uad50\uc721 \uc9c0\uc6d0", "154": "\uc9c4\uc555\ubc18 \ud604\uc7a5 \ucd9c\ub3d9 \ubc0f \ub300\uc751*\ud654\uc7ac \ud604\uc7a5\uc5d0\uc11c \ucd08\uae30 \uc18c\ud654*\ucd08\uae30 \uc9c4\ud654 \uc2e4\ud328\uc2dc 119 \uc5f0\ub77d", "155": "\uc870\uacbd\uacfc\uc0ac\ubb34\uc2e4", "156": "\uc885\ud569\ubc29\uc7ac\uc13c\ud130", "157": "3/18(\ubaa9)", "158": "\ubc29\ud559\ub85c", "159": "\ud64d\ubcf4 \ud611\uc870 \uc694\uccad \ubc0f \uc548\ub0b4 \uc2e4\uc2dc (\ubb38\uc11c \uc2dc\ud589 \ubc0f \ud648\ud398\uc774\uc9c0 \uac8c\uc2dc \ub4f1)", "160": "2021. 3. 30.", "161": "\uc804\uc2dc\uae30\ud68d\uacfc", "162": "2\ub144", "163": "\uc11c\ube59\uace0\ub85c51\uae38 9", "164": "\uc5f0\uac04\ub2e8\uac00\uc5c5\uccb4\ub85c \ud558\uc5ec\uae08 \uc815\ube44\ud1a0\ub85d \uc870\uce58 \uc608\uc815", "165": "20\uc810", "166": "\uac10\uc5fc\ubcd1\uad00\ub9ac\uacfc", "167": "empty", "168": "2021.5.28.", "169": "empty", "170": "\uacbd\uc720", "171": "\uacf5\ubcf4\ucc98 \ud64d\ubcf4\uad6d", "172": "20", "173": "\uc6a9\ub4505\uad6c\uc5ed \uc8fc\ud0dd\uc7ac\uac1c\ubc1c \uc815\ube44\uc0ac\uc5c5", "174": "\ud604\uc7a5\ub300\uc751\ub2e8 \uc804\uc6d0", "175": "10\uba85", "176": "100\uba85", "177": "\uc870\ub9ac\uc0ac", "178": "\uc6d4 1\ud68c \ub2f4\ub2f9 \ub300\uc0c1\ucd9c\uc7a5", "179": "\uc11c\uc6b8\ubb3c\uc5f0\uad6c\uc6d0", "180": "3", "181": "2020.11.23.", "182": "33,000", "183": "10,000\uc6d0", "184": "\uacfc\uac70\uc5d0\uc11c \ubbf8\ub798\ub85c", "185": "\uad6c\ubcc4 \uc218\uc694\uc870\uc0ac\uc11c \uc81c\ucd9c", "186": "\uc704\uae30 \ubc18\ub824\ub3d9\ubb3c \uad6c\uc870 \ubc0f \uc778\uacc4", "187": "\uccb4\ub0a9\ucd94\uc801\uc804\ubb38\uac00 \uad50\uc721", "188": "10\uc7a5", "189": "empty", "190": "41\uba85", "191": "\uc74c\uc131 \ub179\uc74c \ubd88\ub7c9", "192": "6\uc2dc\uac04", "193": "15\ubd84", "194": "empty", "195": "\uc6b0\ub9ac\uc9d1\uacf5\ub3d9\uccb4", "196": "\uc18c\ud654\uae30\uad6c\uacbd\ubcf4\uc124\uc2dc\ud53c\ub09c\uc124\ube44", "197": "\ud0c4\ucc9c\ubcc0 \ub3d9\uce21\ub3c4\ub85c \uad6c\uc870\uac1c\uc120", "198": "\uc2e0\ub300\ubc291\ub3d9 \uc5b4\uc6b8\ub9bc \ubd09\uc0ac\ub2e8 \uc6b4\uc601", "199": "\uc1a1\ud30c", "200": "\uc9c0\ub2a5\uc9c0\uc218(IQ)", "201": "2021. 05. 17.(\uc6d4)~ 2021.05. 20.(\ubaa9)", "202": "\uc601\ub4f1\ud3ec\uc5ed 5\ubc88\ucd9c\uad6c \uc55e \ubcf4\ub3c4", "203": "29\uac1c", "204": "5~10\uc6d4", "205": "\uc218\uc9c8\uc790\ub3d9\uac10\uc2dc\uc2dc\uc2a4\ud15c \uc218\uc9c8\uc815\ubcf4 \ubd84\uc11d\uc9c0\uc6d0\uae30\ub2a5 \uac1c\ubc1c", "206": "empty", "207": "\uc131\uc778\uc6a9", "208": "2", "209": "LG\ud718\uc13c \ub9e4\ub9bd\ud615", "210": "10\uac1c\uc18c", "211": "empty", "212": "308\uac1c\uc18c", "213": "empty", "214": "10\uc570\ud50c", "215": "\ud654\uc7ac\uc608\ubc29 \ucca0\uc800\ud1a0\ub85d \uc9c0\ub3c4\ud568 - \ud654\uae30\ucde8\uae09 \ub4f1 \uc548\uc804\uc218\uce59 \uc900\uc218 \ucca0\uc800\ud1a0\ub85d \uc9c0\ub3c4\ud568", "216": "\uc2e0\ucc3d\uc2dc\uc7a5\uc55e", "217": "\uc0ac\uc6a9\ucc98 \ubc1c\uacac\uce58 \ubabb\ud568", "218": "59,550\uc6d0", "219": "\ud6c8\ub828\uc9c4\ud589 \ubc0f \uc804\uae30\ucc28\uad6c\uc870 \ud6c8\ub828 \uc8fc\ub3c4", "220": "2016\ub144", "221": "\ud310\ub12c \ub0b4\ubd80 \uc8fc\ubcc0 \uccad\uacb0 \uc0c1\ud0dc \uc810\uac80", "222": "\uc5f0\uac74119\uc548\uc804\uc13c\ud130", "223": "6\ub144", "224": "\ud654\uc7ac", "225": "\uccad\uc18c\ub144 \uc544\uc9c0\ud2b8 \uc870\uc131", "226": "20mm \uc0c8\ub4e4\uc7a0\uae08", "227": "\ud574\ub2f9\uc0ac\ud56d \uc5c6\uc74c", "228": "\uc704 \uc81c\ucd9c\uc11c\ub958 \uc9c0\ucc38\ud558\uc5ec \uc720\uc120\uc73c\ub85c \uc77c\uc815 \ud611\uc758 \ud6c4 \ubc29\ubb38\uc218\ub839", "229": "\uad6c\ub9bd\uad81\ub3d9\uccad\uc18c\ub144\ubb38\ud654\uc758\uc9d1", "230": "\uc591\ud638\ud568", "231": "\ubc30\uc218\uad6c \ub9c9\ud798", "232": "300", "233": "60", "234": "\uad11\uc9c4\uc815\ubcf4\ub3c4\uc11c\uad00", "235": "\uc11c\uc6b8\uae30\ub85d\uc6d0", "236": "PC\uc640 \uc2a4\ub9c8\ud2b8\ud3f0\uc73c\ub85c\uc601\uc0c1\ud3b8\uc9d1 \ub9c8\uc2a4\ud130\ud558\uae30", "237": "\uc7a5\ube44 \uc870\uc791\ud6c8\ub828", "238": "30\uc138\ub300", "239": "90\uc810 \uc774\uc0c1", "240": "\uacf5\uc720\ub3d9\uc6b0\uce21", "241": "2.5\ud1a4", "242": "\uace4\ucda9\uc0b0\uc5c5\ubb38\ud654\uc790\uc6d0\uc5f0\uad6c\uc18c", "243": "\uc5ed\uc0ac\ub3c4\uc2ec\uc7ac\uc0dd\uacfc", "244": "\uc62c\ub9bc\ud53d\ub300\uad50 \ub0a8\ub2e8IC \uc5f0\uacb0\ub85c \uad6c\uc870\uac1c\uc120 \uacf5\uc0ac", "245": "70%", "246": "39,570\uc6d0", "247": "empty", "248": "2\ud68c", "249": "empty", "250": "30", "251": "empty", "252": "8\ud68c", "253": "550kg", "254": "2013\ub144 10\uc6d4", "255": "GC-MSGC-ECD,\ud6c4\ub4dc,\ud56d\uc628\ud56d\uc2b5\uae30", "256": "44", "257": "10\uac1c \uc790\uce58\uad6c", "258": "\ud658\uacbd\uc815\ube44-2", "259": "\uc790\uc0b0\ubc0f\ubb3c\ud488\ucde8\ub4dd\ube44", "260": "SindohMF2101", "261": "\uad6c\uc870\ubc84\uc2a4", "262": "\uc7ac\uc0dd\uc815\ucc45\uacfc", "263": "\ucd94\ucc9c\uc77c \uae30\uc900 2\ub144 \uc774\ub0b4 \uc11c\uc7a5\uc774\uc0c1 \ud45c\ucc3d \uc218\uc0c1\uc790", "264": "2\uc7a5", "265": "\ub4dc\ub860\uc870\uc885\uc790\uaca9\uc790 \uc774\uc0c1", "266": "\uad11\uc7a5\ub3d9 \uc624\uc218\ud38c\ud504\uc7a5 \uc6b4\uc601", "267": "2021. 5. 3. ~2021. 12. 24.", "268": "\uc790\uccb4\uc810\uac80\uae30\uac04 \uc77c\uce58\uc5ec\ubd80", "269": "empty", "270": "empty", "271": "2\uc8fc \uc774\uc0c1 : 1.0\uc810 1\uc8fc \uc774\uc0c1 2\uc8fc \ubbf8\ub9cc : 0.5\uc810", "272": "2021.04.05.", "273": "\uc22d\ub840\ubb38", "274": "20", "275": "\uc2dc\ub9bd \ub0a8\ub300\ubb38\ucabd\ubc29\uc0c1\ub2f4\uc18c", "276": "\uc885\ud569\uc0c1\ud669\uc2e4", "277": "empty", "278": "22", "279": "70%", "280": "empty", "281": "18\uac1c\uc18c", "282": "\ud544\uc218\uad50\uc721", "283": "\uc751\ubd09\uacf5\uc6d0 \uc794\ub514\uad11\uc7a5 \ub178\ud6c4\ud0c4\uc131\ud2b8\ub799 \uc815\ube44\uacf5\uc0ac", "284": "empty", "285": "87\uad8c", "286": "\uc720\ud6a8\uae30\uac04 \uacbd\uacfc", "287": "\ub4f1\uae09 \uc678 \uc0c1\ud488\uacfc \uacfc\uc789\uc0dd\uc0b0 \ub18d\uc791\ubb3c\uc5d0 \uc0c8\ub85c\uc6b4 \uac00\uce58\ub97c \ub354\ud55c \ud648\ucfe0\ud0b9 \ub18d\uc2dd\ud488\uc744 \uac1c\ubc1c", "288": "\ubba4\uc9c0\uc5c4\uacbd\uc601\uc5f0\uad6c\uc18c", "289": "800", "290": "SOP 300~323", "291": "empty", "292": "empty", "293": "e-\uc131\uc778\uc9c0 \uac10\uc218\uc131\uacfc \uc131\uc778\uc9c0 \ub9ac\ub354\uc2ed", "294": "2021.4. 1", "295": "\ud3ec\uc2a4\ud2b8\ucf54\ub85c\ub098 \uc2dc\ub300 \uc9c0\uc5ed\uc0ac\ud68c \uc0dd\ud65c\ubc29\uc5ed\uacfc \ub300\uc548 \ud1a0\ub860\ud68c", "296": "\uc870\uc0ac\uc790", "297": "\uc6b4\ud734", "298": "empty", "299": "1980\ub144", "300": "3\uac1c\uc6d4", "301": "3\ucc9c\ub9cc\uc6d0", "302": "\uc7ac\uac74\ucd95\u00b7\uc7ac\uac1c\ubc1c", "303": "empty", "304": "\uc11c\uc6b8\uc5d0\ub108\uc9c0\uacf5\uc0ac", "305": "SOP 100~108", "306": "\uc9c4\uc555\ub300 \ubc0f \uc548\uc804\uc13c\ud130", "307": "\uc608\uc815", "308": "(\uc8fc)\ub300\uad50", "309": "\uc9c0\uc0c1\uc2dd", "310": "\ube45\uc774\uc288\ucf54\ub9ac\uc544", "311": "\ub0b4\uc6a9", "312": "\uc5b4\ubabd\uc5bc\uc4f0", "313": "241", "314": "2021\ub14406\uc6d418\uc77c", "315": "\uc751\uc554 \uc81c4\uad6c\uc5ed \uc8fc\ud0dd\uc7ac\uac1c\ubc1c \ub3c4\uc2dc\uae30\ubc18\uc2dc\uc124 \uac00\ub85c\ud658\uacbd \uac1c\uc120\uc0ac\uc5c5", "316": "\uc11c\uc18c\ubb382\uccad\uc0ac\ub300\ud68c\uc758\uc2e4", "317": "18\uac1c\uc18c", "318": "\ubcf4\ud589\uc548\uc804 \ubc0f \ud3b8\uc758\uc99d\uc9c4\uc5d0 \uad00\ud55c \ubc95\ub960 \uc2dc\ud589\ub839(\uc81c7\uc870\uc7584\uc81c4\ud56d)", "319": "7\uc77c \uc774\ud558", "320": "25mm", "321": "33", "322": "\uac15\ub3d9\uc18c\ubc29\uc11c\ud604\uc7a5\ub300\uc751\ub2e8", "323": "65\ubd84", "324": "\ube44\ub300\uba74 \ub9e4\uccb4 \ud65c\uc6a9", "325": "\uc608\uc0b0\uc9c0\uc6d0", "326": "110mm", "327": "\uc2dc\uacf5\uc0ac", "328": "\uae08\ucc9c\uad6c", "329": "\uae08 4,089,750\uc6d0", "330": "\uac1c\ud1b5 \uc804\uae4c\uc9c0 \ucd94\uc9c4", "331": "4", "332": "12\uba85", "333": "\uad11\ub098\ub8e8\ud55c\uac15\uacf5\uc6d0\uc790\uc5f0\ud615\ud638\uc548\ubcf5\uc6d0\uc0ac\uc5c5", "334": "\ub2f9\uc0ac\uc790 \ub4f1\uc744 \ub300\uc0c1\uc73c\ub85c \uc0ac\uc2e4\ud655\uc778 \ub4f1 \uac1d\uad00\uc801 \uc870\uc0ac\uc758\ubb34 \uad6c\uccb4\ud654", "335": "MTM800E", "336": "3", "337": "10,000\uc6d0", "338": "28\uba85", "339": "7", "340": "empty", "341": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \ub370\uc774\ud130\uc13c\ud130 \uad50\uc721\uc7a5", "342": "\ubcf4\uc874\uc815\ucc45\uacfc", "343": "0.15", "344": "\uc0bc\ubcf4\uacfc\ud559(\uc8fc)", "345": "5\uc6d4 17\uc77c", "346": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc\uacbd\ucc30\uccad \uad50\ud1b5\uad00\ub9ac\uacfc", "347": "30\uba85", "348": "20\uc810", "349": "2021.04.02.", "350": "\uc11c\uc6b8YMCA\uc885\ub85c\ubcf4\uc721\uad50\uc0ac\uad50\uc721\uc6d0", "351": "2021.05. 20.", "352": "\uacf5\ubb34\uc6d0", "353": "\uae30\ub150\ud488", "354": "78\uac1c", "355": "\ud558\ub098\ub85c\ub9c8\ud2b8~\ub179\ucc9c\uace0\ubb3c\uc0c1", "356": "\ub9c8\uc774\ud2f0", "357": "\uc7ac\uc0dd\uc815\ucc45\uacfc", "358": "35\uc2dc\uac04", "359": "\uc801\uc815\uc131 \uc2ec\uc0ac\uc704\uc6d0 \uc18c\uac1c", "360": "\uac1c\ubcc4\ubb38\uc790 \ubc0f \uc804\ud654, \uae30\uad00\ubcc4 \uacf5\ubb38 \uc2dc\ud589 \ub4f1\uc758 \ubc29\ubc95\uc73c\ub85c \uc811\uc885", "361": "\uc6d0\ud65c\ud55c \uc2dc\uc6b4\uc804 \ub3c4\ubaa8", "362": "A-23", "363": "\uc804\uba74\uacc4\ub2e8", "364": "\uc11c\ubd81\uad8c\uc5ed", "365": "21.04.20", "366": "\uc628\ub77c\uc778\uad50\uc721", "367": "empty", "368": "18\ud68c\ucc28", "369": "\ub178\ub3d9\uc808", "370": "\ud55c\uac15H", "371": "\uc9c0\uc6d0\ubd09\uc0ac\uc790 \ud65c\ub3d9\ube44", "372": "\u321c\uc2e0\ud55c\uc774\uc5d4\uc528", "373": "2021. 5. 20.(\ubaa9)", "374": "\ubcf5\uc9c0\ubcf8\ubd80 \uc7a5\uc560\uc778\ubcf5\uc9c0\uc815\ucc45\uacfc", "375": "350\ubc31\ub9cc\uc6d0", "376": "1\uba85", "377": "empty", "378": "\ubd80\ud488 \uad50\uccb4", "379": "\uc591\ud654\ub300\uad50 \ubd81\ub2e8 \uc9c4\ucd9c\uc785 \ub7a8\ud504", "380": "\uc1a1\uc218\uc2dc\uc124", "381": "1 \ub300", "382": "\uc6b0\ud68c\uc804 \ucc28\ub7c9\uacfc \ud6a1\ub2e8\ubcf4\ub3c4 \ubcf4\ud589\uc790\uac04 \uc0ac\uace0 \uc704\ud5d8\uc774 \uc788\uc5b4 \ucc28\ub7c9\ubcf4\uc870 \uc2e0\ud638\ub4f1 \ucd94\uac00 \uc124\uce58 \ud544\uc694", "383": "\uad6d\uac00\ud654\uc7ac\uc548\uc804\uae30\uc900(NFSC) \ub4f1", "384": "\uc778\ud134 \ubaa8\uc9d1 \ud64d\ubcf4 \ubc0f \uc120\ubc1c", "385": "\uc804\uc790\uacf5\uac1c\uc218\uc758\uacc4\uc57d", "386": "\uad6d\uac00\uae30\uc220\uc790\uaca9\ubc95 \uc81c2\uc870 3\ud56d", "387": "2021\ub144 \uc5f0\ub9d0 \uc131\uacfc\uacf5\uc720\ud68c \ud1b5\ud569 \uac1c\ucd5c \uc81c\uc548", "388": "\uac15\ub3d9 \uc5ec\uc131\uc778\ub825\uac1c\ubc1c\uc13c\ud130", "389": "1,232,650\uc6d0", "390": "\uae30\ud0c0\ubcf4\uc870\uae08\uc0ac\uc6a9\uc794\uc561\ubc18\ud658\uae08", "391": "10\ubd84", "392": "\uc11c\ubd80\uc218\ub3c4\uc0ac\uc5c5\uc18c", "393": "\ucf54\ub85c\ub098 \uac10\uc5fc \ud655\uc0b0\uc138\uc5d0 \ub530\ub978 \ucf54\ub85c\ub098 \ud655\uc0b0 \uc608\ubc29", "394": "empty", "395": "197\uc815", "396": "\ubc1c\uc804 \uc7a5\uc18c\uc758 \ud604\uc7a5 \uc5ec\uac74\uc5d0 \ub530\ub77c \uad00\ub828\uaddc\uc815\uc5d0 \uc758\uac70 \uc811\uc18d\uc81c\ud55c \uac00\ub2a5", "397": "17,000\uc6d0", "398": "\ucd9c\uc7a5 \uc810\uac80", "399": "150\ub9cc\uc6d0\uc774\uc6a9\uc790 10\ub9cc\uc6d0", "400": "\uc801\uc218", "401": "\uc815\ube44\uc694\ud568", "402": "\uc81c\uc8fc", "403": "empty", "404": "\uc9c1\uc7a5\ub0b4 \uc131\ud76c\ub871 \uc608\ubc29\uad50\uc721", "405": "125\uba85", "406": "2021\ub14405\uc6d417\uc77c", "407": "1,640\uba85", "408": "\uc628\ub77c\uc778 \uc804\uc0b0\uad50\uc721\uc7a5", "409": "19\uba85", "410": "50\uac1c\uc18c", "411": "empty", "412": "35.2%", "413": "\uae30\uc804\uacfc\uc7a5", "414": "2021.04. 07.", "415": "34A", "416": "\uad6c\ub85c\uc18c\ubc29\uc11c \uacf5\ub2e8119\uc548\uc804\uc13c\ud130", "417": "\ub178\ub4e4\ub85c \uc911\uc559\ubd84\ub9ac\ub300 \uc774\uc124\ub178\ub4e4\ub85c \ucc28\ub85c \uc7ac\uad6c\ud68d", "418": "1971", "419": "40\uc2dc\uac04", "420": "500%", "421": "\ub3d9\ub300\ubb38\ub514\uc790\uc778\ud50c\ub77c\uc790(DDP) \ud654\uc0c1\ud68c\uc758 \uc2a4\ud29c\ub514\uc624", "422": "\uc911\ubd80\uc218\ub3c4", "423": "\uac15\ubd81\uc18c\ubc29\uc11c \uad6c\uc870\ub300 21\uba85", "424": "\uc218\uc7a5\uace0 \uc628\uc2b5\ub3c4 \uc810\uac80", "425": "\ud589\uc815\uad6d \ucd1d\ubb34\uacfc", "426": "empty", "427": "3\uac1c\uc6d4", "428": "3\uac1c\uc18c", "429": "30\uba85", "430": "\uc18c\ubc29\ucc28\ub7c9 \ube14\ub799\ubc15\uc2a4 \ubc0f \uc6d0\uaca9\uc7a0\uae08\uc7a5\uce58 \uc810\uac80", "431": "\uc804\ub825\uc0ac\uc6a9\ub7c9, \ubc30\ucd9c\uc218", "432": "\uac10\uc0ac\ub2f4\ub2f9\uad00", "433": "\uc591\ud654\uacf5\uc6d0", "434": "empty", "435": "\ucee4\ubba4\ub2c8\ud2f0 \ud64d\ubcf4- \uc0ac\uc5c5 \uad00\ub9ac", "436": "\uc7ac\ud65c\uce58\ub8cc \uc758\ub8cc\uc7a5\ube44 \ubcf4\uac15", "437": "\ubbfc\uad00 \uac70\ubc84\ub10c\uc2a4 \uad6c\ucd95 \ud604\ud669", "438": "\uacbd\uace0", "439": "empty", "440": "\ub3c4\uc2dc\ub18d\uc5c5\uacfc", "441": "\uc911\ubd80\uc18c\ubc29\uc11c \uc18c\ubc29\uc548\uc804\uad50\uc2e4", "442": "\ucf54\ub85c\ub09819 \ud655\uc9c4\uc790 \ubc00\uc811\uc811\ucd09\uc5d0 \ub530\ub978 \uac10\uc5fc\uc5ec\ubd80 \uac80\uc0ac", "443": "2021.4.9.", "444": "\uc18c\ud654\uae30", "445": "123\uad8c", "446": "empty", "447": "4\uba85", "448": "\uc885\ud569\ubc29\uc7ac\uc13c\ud130", "449": "\uac1d\uad00\uc801 \uc870\uc0ac\uc758\ubb34", "450": "21\uba85", "451": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44\uc18c\ud654\ud65c\ub3d9\uc124\ube44", "452": "2021\ub14404\uc6d415\uc77c", "453": "1\uce35 \ubcf5\ub3c4 \ucc9c\uc7a5", "454": "\uc778\ud130\ub137\uccad\uc57d\uc811\uc218", "455": "\ud55c\uad6d\uc18c\ubc29\uc548\uc804\uc6d0", "456": "\uc911\ub791 \ucea0\ud551\uc232 \ubb3c\ub180\uc774\uc7a5", "457": "OPSCAN", "458": "\uc7a5\ube44\uc870\uc791\ud6c8\ub828", "459": "40.2%", "460": "\uc804\ub0a8 \uad11\uc591\uc2dc", "461": "\uc5d0\uc2a4\ud4e8\uc5bc\uc140", "462": "\uc384\ub85c\ucf0825mg", "463": "empty", "464": "\uacbd\uc601\uad00\ub9ac\ubd80", "465": "\uc774\ud0dc\uc6d0 \u00b7 \uc11c\ube59\uace0", "466": "\uc790\ub9c9\uc774 \uc5c6\ub294 \uace0\ud654\uc9c8 \ud074\ub9b0\ubcf8 \uc601\uc0c1 \ud30c\uc77c", "467": "SNSB-C\uc758 \ud65c\uc6a9\uacfc \uacbd\ub3c4\uc778\uc9c0\uc7a5\uc560 \ud658\uc790\ub97c \uc704\ud55c \uc778\uc9c0 \ud6c8\ub828 \ud504\ub85c\uadf8\ub7a8", "468": "empty", "469": "851\ub9e4", "470": "empty", "471": "\uc18c\ubc29\ud559\uad50,\uc18c\ubc29\uc0b0\uc5c5\uae30\uc220\uc6d0", "472": "\uae0820,000,000\uc6d0", "473": "30\uba85", "474": "\uc7ac\ud0dd", "475": "\uc720\ud6a8\uae30\uac04 \uacbd\uacfc", "476": "\uac15\ub3d9\uc18c\ubc29\uc11c\ud604\uc7a5\ub300\uc751\ub2e8", "477": "\ub364\ud504\ud2b8\ub7ed", "478": "\uc18c\ucd9c\uc218", "479": "\uad6c\uae09\ucc28", "480": "\ubb34\ub8cc", "481": "\uc2b9\uc778 \uc2dc \uc608\uc0c1\ub418\ub294 \ud6a8\uacfc \ubc0f \ubb38\uc81c\uc810", "482": "\uc5f0\uc911", "483": "6", "484": "\uac74\ucd95/\uc124\ube44", "485": "7,659,000\uc6d0", "486": "\uc81c5\uae30 \uc2e4\ud654\uc7ac \uc885\ud569\ud6c8\ub828", "487": "\uc11c\uc6b8\uc5ed\ud574\ud53c\ub8e8\ud2b8 456", "488": "\uac1c\ud3ec119\uc548\uc804\uc13c\ud130", "489": "\uc6d0\ud615\uc5d0\uc5b4\ubc31 \ubc0f \uacf5\uac04\ud655\ubcf4\ub4f1 \ud6c8\ub828\uc8fc\ub3c4", "490": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "491": "\uc804 \uc9c1\uc6d0", "492": "\ud604\uc7a5\ucd9c\ub3d9\ud558\uc5ec \uc2a4\ud150\uc811\ud569 \uc870\uce58\ud568.", "493": "\ub300\uba74\uacfc \ube44\ub300\uba74\uad50\uc721 \ubcd1\ud589", "494": "100\uba85", "495": "\uccb4\ud06c\ub9ac\uc2a4\ud2b8", "496": "\ubd80\uc8fc\uc758", "497": "empty", "498": "60,000\uc5ec\uba85", "499": "\ud398\ub2c8\ub77c\ubbfc", "500": "1\uc778", "501": "112,000\uc6d0", "502": "\uc2ac\ub7ec\uc9c0\uc218\uc9d1\uae30", "503": "30\ub9cc\uc6d0 \uc0c1\ub2f9 \ubb38\ud654\uc0c1\ud488\uad8c", "504": "\uac15\uad00", "505": "\uc7ac\uac74\ucd95\u00b7\uc7ac\uac1c\ubc1c", "506": "\ubc95 \uc81c13\uc870\uc81c4\ud56d\uc81c2\ud638", "507": "empty", "508": "57\uac1c\uc18c", "509": "\uc720\ucda9\uc720\uc785 \ubc29\uc9c0", "510": "\uc18c\ud654\uc124\ube44, \uacbd\ubcf4\uc124\ube44", "511": "2021. 5. 15.", "512": "40", "513": "\ud300\uc7a5", "514": "\u201921.7.31.\uae4c\uc9c0", "515": "empty", "516": "\uace0\ud654\uc9c8 CCTV", "517": "15\uac1c \uc790\uce58\uad6c", "518": "ESH-200AH", "519": "\uad00\uc545\uc544\ud2b8\ud640", "520": "\uac15\uc11c\uad6c \ud558\ub298\uae38 38", "521": "2021.5.10.", "522": "\ubd81\uc544\ud604\uad50\ud68c", "523": "\ub69d\ub3c4, \uad6c\uc758\ucde8\uc218\ud38c\ud504", "524": "empty", "525": "2021. 4. 8.(\ubaa9)", "526": "\uad6c\ub85c\uad6c \ud64d\ubcf4\uc804\uc0b0\uacfc", "527": "empty", "528": "\uac10\uc131\ube0c\uc774\ub85c\uadf8", "529": "18150", "530": "\uc2e4\uce21", "531": "75\ub9cc\uc6d0", "532": "\uae30\uac01", "533": "empty", "534": "\ud611\uce58\uc0ac\uc5c5\uc758 \ud655\ub300\u30fb\ud655\uc0b0", "535": "\uccb4\uc628\uacc4", "536": "7\uba85", "537": "3\uac1c \ub2e8\uccb4", "538": "4. 1.(\ubaa9)", "539": "7\uc2dc\uac04", "540": "\ucd083", "541": "\uac10\uc9c0\uae30\uc5f0\ub3d9 \uc790\ub3d9\ud3d0\uc1c4\uc7a5\uce58 \uc815\uc0c1\uc791\ub3d9\ub428\u25cb \uc644\uac15\uae30 \uc801\uc815 \uc124\uce58\ub428", "542": "KGS GC203", "543": "empty", "544": "7\uc6d422\uc77c(\ubaa9)", "545": "\uc885\ub85c1\uac00", "546": "2021.5.4.", "547": "\uc778\uc0ac\ud601\uc2e0\ucc98(\uad6d\uac00\uacf5\ubb34\uc6d0\uc778\uc7ac\uac1c\ubc1c\uc6d0) \ud589\uc815\uc548\uc804\ubd80", "548": "2021.04.28.", "549": "3\uc6d4 \ucc29\uacf5\uc2e0\uace0 \uc811\uc218\ub41c \ubaa8\ub4e0 \uacf5\uc0ac\uc7a5", "550": "\uc2e0\ud2b8\ub9ac119\uc548\uc804\uc13c\ud130", "551": "\ucf54\uc6d0", "552": "\uae30\ubc18\uc2dc\uc124\uacfc", "553": "4", "554": "\ub178\uc6d0\ucd08\ub4f1\ud559\uad50", "555": "\uc11c\uc6b8\uc2dc\ub9bd\ub300\ud559\uad50 \uc11c\uc6b8\ud559\uc5f0\uad6c\uc18c", "556": "\uacfc\ubc18\uc218", "557": "1.0\uc774\uc0c1", "558": "\u321c\ud55c\uc194\uc54c\uc564\ub514", "559": "empty", "560": "\ud604\uc7a5\ub300\uc751\ub2e8", "561": "\ub3c4\ubd09\uc18c\ubc29\uc11c \ucc3d\ub3d9119\uc548\uc804\uc13c\ud130", "562": "52\uba85", "563": "\uc9c0\ubc29\uc138\ud2b9\ub840\uc81c\ub3c4\uacfc-704", "564": "4\uc6d43\uc77c", "565": "\ub3c8\uc758\ubb38\ubc15\ubb3c\uad00\ub9c8\uc744\uba85\uc778\uac24\ub7ec\ub9ac", "566": "\ud3ec\ucf13\ubcfc \uacbd\uae30\ub97c \ud1b5\ud55c \uc2e0\uccb4\ud65c\ub3d9 \uc218\ud589", "567": "10\uba85", "568": "\uc2e4\uc2dc\uac04 \uc628\ub77c\uc778 \uc6d0\uaca9\uad50\uc721", "569": "\uac00\uc815\uc6a9", "570": "18\uba85", "571": "CCTV \ub179\ud654 \ubd88\ub7c9", "572": "\uc758\ubb34\uad6c\ub9e4 \ube44\uc728", "573": "\ucd9c\ub3d9\ubd88\ub2a5", "574": "5\uc810", "575": "\uc5c5\ubb34\uc218\ud589 \ucca0\uc800\ud1a0\ub85d \uc9c0\ub3c4\ud568", "576": "empty", "577": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud611\ud68c", "578": "\ud575\uc2ec\uac00\uce58\ud3c9\uac00", "579": "2021. 5. 7.(\uae08)", "580": "\ud280\uae40\uae30 \ub0b4 \ud280\uae40\uc720\uac00 \uacfc\uc5f4\ub418\uc5b4 \ubd88\uaf43\ubc1c\uc0dd", "581": "\uc11c\uc6b8\uc2dc", "582": "\ud654\uc7ac\uc758 \uc608\ubc29\uc870\uce58 \ub4f1\uc5d0 \uad00\ud55c \uc0ac\ud56d \uc900\uc218\ud568", "583": "2021.5.18.", "584": "4,300\uc6d0", "585": "(\uc7ac)\uc218\ub3c4\ubb38\ubb3c\uc5f0\uad6c\uc6d0", "586": "\uc0ac\ub2e8\ubc95\uc778 \ub124\uc624\ud53c\ud50c", "587": "\uc0c1\uc218\ub3c4 \uc2dc\uc124\ubb3c\uc5d0 \ub300\ud55c \uacf5\uac04\uc815\ubcf4 \ud3b8\uc9d1", "588": "empty", "589": "empty", "590": "\uc0c1\ub3c4\ub3d9 516~\ubcf8\ub3d9 136", "591": "09:00", "592": "2021.4.26.", "593": "\ud654,\uc218\uc694\uc77c", "594": "\uc2dc\uc124\ube44", "595": "\uac15\ub0a8\uc18c\ubc29\uc11c", "596": "empty", "597": "empty", "598": "empty", "599": "2020.7.23.", "600": "33", "601": "\uc9c0\ud558\uc2dc\uc124\ubb3c \uc720\uc9c0\uad00\ub9ac(\uc810\uac80 \ubc0f \ubcf4\uc218\ubcf4\uac15 \ub4f1) \ucd1d\uad04\uc5c5\ubb34 \uc218\ud589", "602": "1,300\u33a1", "603": "\uc7ac\ud0dd", "604": "2021. 4. 21.", "605": "3\uc778", "606": "15\uc810", "607": "empty", "608": "60,000\uc5ec\uba85", "609": "3.3.kg", "610": "\ud589\uc815\uc9c0\uc6d0\uacfc", "611": "20mm", "612": "70\uba85", "613": "1", "614": "10\uac1c", "615": "\uc138\ubd80\uc704\uce58\ubcc4\ucca8\ucc38\uc870", "616": "\ud0dc\ud48d\uc8fc\uc758\ubcf4", "617": "10\uc810", "618": "\uc608\uc0b0\uc758 \ud6a8\uc728\uc801 \ud3b8\uc131", "619": "\uc885\ub85c\uad6c\uccad", "620": "\uc2dc\uc2a4\ud15c \uad6c\uc870 \ubc0f \ubcf4\uc548", "621": "\uc7a5\uc560\uc778\uc790\ub9bd\uc9c0\uc6d0\uacfc", "622": "\uacf5\ub355", "623": "\uc7a5\ube44\ud68c\uacc4\ud300\uc7a5", "624": "2\uac1c", "625": "\ub3d9\uacbd\uacac", "626": "\ubc31\uc81c\ud559\uc5f0\uad6c\uc18c", "627": "200\u33a1", "628": "\uc6d0\uaca9\uad50\uc721\uc73c\ub85c \uad50\uc721\uc5ec\ube44 \uc5c6\uc74c", "629": "\uc9c0\uc5ed\uad50\ud1b5\uc548\uc804\ud658\uacbd\uac1c\uc120\uc0ac\uc5c5", "630": "\uc548\uc804\uc7a5\ube44 \ubc0f \uc18c\ubaa8\ud488 \uad6c\uc785", "631": "2021.3.29.~5.18(15\uc77c 104H)", "632": "\uc815\uc218\uc7a5\uc2dc\uc124\uc720\uc9c0\ubcf4\uc218", "633": "\ube44\ub300\uba74 \ud604\uc7a5\uad50\uc721 \uc18c\uac1c", "634": "\uc5f0\uac04\ub2e8\uac00\uc5c5\uccb4", "635": "\ud604\uc7a5\ubbfc\uc6d0\uacfc", "636": "200\ub9cc\uc6d0", "637": "\ud55c\uad6d\uc6d0\uc790\ub825\uc5f0\uad6c\uc6d0", "638": "\ub300\uaddc\ubaa8", "639": "200\ub9cc\uc6d0", "640": "empty", "641": "\ub300\ud55c\ucc9c\ubb38\ud559\ud68c", "642": "15\ub9cc\uc6d0", "643": "\uad6d\ub9bd\uc804\ud30c\uc5f0\uad6c\uc6d0\uc9c1\uc6d0", "644": "\ucd5c\ub300 5\ub144", "645": "\uc544\uc8fc\ub300", "646": "\ud55c\uad6d\uc815\ubcf4\ud1b5\uc2e0\uc9c4\ud765\ud611\ud68c", "647": "20\uba85", "648": "\ub514\uc9c0\ud138\uc744 \uc54c\uace0, \uce5c\uc219\ud574\uc9c0\uae30", "649": "\uc138\uacc4\ub3d9\uad74\uc5d1\uc2a4\ud3ec \ub3d9\uad74\uc2e0\ube44\uad00", "650": "\ubb38\ubc95 \uc900\uc218", "651": "\ud658\uacbd\ubd80\uc7a5\uad00", "652": "\uacfc\ud559\uae30\uc220 \ub3d9\ud5a5 \uc815\ubcf4- \uacfc\ud559\uae30\uc220 \uc9c1\ubb34 \uc815\ubcf4- \ucde8\uc5c5,\uacbd\ub825 \uc131\uacf5\uc0ac\ub840", "653": "\ud328\uc18c\uc2dc \uc0c1\ub300\ubc29 \ubcc0\ud638\uc0ac \ubcf4\uc218\uc758 50% \uc774\ub0b4", "654": "\ubcf5\uc9c0\ubd80", "655": "5.7\uc5b5\ubd88", "656": "4\uac1c", "657": "empty", "658": "empty", "659": "\ubdf0\ub178", "660": "30", "661": "\uae00\ub85c\ubc8c \uc2dc\uc120", "662": "65\uac74", "663": "\uc138\uc561\uacf5\uc81c\uc640 \uc790\uc728\ub4f1\uae09\uc81c \ub3c4\uc785\uc744 \uc704\ud55c \uc804\uae30\ud1b5\uc2e0\uc0ac\uc5c5\ubc95 \uac1c\uc815 \ucd94\uc9c4", "664": "empty", "665": "\u277a\uc0dd\ud0dc\uacc4 \uad6c\ucd95", "666": "empty", "667": "15\ubd84", "668": "empty", "669": "\ud55c\uad6d\uc778\ud130\ub137\uc9c4\ud765\uc6d0", "670": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "671": "\uacfc\uc81c\ub2f9 5\uc5b5 \uc6d0 \uc774\ub0b4", "672": "\ube44\ubc14\ub9ac\ud37c\ube14\ub9ac\uce74", "673": "36\uc5b5 \uc6d0", "674": "\uc57d 90\ub9cc\uc6d0", "675": "\ud55c\uad6d\ub3c4\ubc15\ubb38\uc81c\uad00\ub9ac\uc13c\ud130", "676": "\uc7ac\ub09c\uc548\uc804 \ud1b5\uc2e0\ub9dd \uc5f0\uacc4 \ubc0f \uc2e4\uc99d \uc9c0\uc6d0", "677": "empty", "678": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uad00\ub9ac\uc6d0", "679": "\uad8c\uc5ed\ubcc4 \ub179\uc0c9\uc2dc\uc7a5 \ub3d9\ud5a5 \ubc0f \ud504\ub85c\uc81d\ud2b8 \uc18c\uac1c", "680": "empty", "681": "3.17\uc870 \uc6d0", "682": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ub300", "683": "\ud3ec\uc5d0\uc2a4\ub9f5\ud37c", "684": "33,000\uc6d0", "685": "6\uc885", "686": "\uc774\ud559\ubd84\uc57c", "687": "\uc720\ubc29\uc554 \ubc1c\uc0dd \uc704\ud5d8\ub3c4 \uc608\uce21", "688": "1\uc77c 4\ucc9c\uc6d0", "689": "\ud37c\ub2c8\ucf58", "690": "1\uac1c \uc5c5\uccb4\ub2f9 5\uba85 \ubc30\uc815", "691": "\ub514\uc9c0\ud138 \uae30\ucd08", "692": "\u318d\uc720\uc804\uc790\uce58\ub8cc \ubc0f \uce58\ub8cc\uc81c\uc758 \uacbd\uc81c\u00b7\uc0ac\ud68c\uc801 \uac00\uce58", "693": "\uacb0\ud63c \uc774\ubbfc\uc5ec\uc131", "694": "empty", "695": "\uc720\uc54c\uc815\ubcf4\uae30\uc220, SKT", "696": "\ub300\uc804\uad11\uc5ed\uc2dc \uc11c\uad6c \uc2e0\uac08\ub9c8\ub85c86\ubc88\uae38 64", "697": "\ub9e4\uc9c1\ubbf8\ub7ec", "698": "\uc8fc\uc5b4\uc9c4 \ub3d9\uc601\uc0c1\ub0b4\uc5d0\uc11c \uc2e4\uc2e0\ud558\ub294 \uc0ac\ub78c\uc744 \ucc3e\uc544\ub77c", "699": "LandSat 8\ud638", "700": "empty", "701": "1/10", "702": "100\ub9cc\uc6d0", "703": "3\uc5b5\uc6d0", "704": "3\ucc28 \ub300\ud68c", "705": "\ud55c\uad6d\ud654\ud559\uc5f0\uad6c\uc6d0 \ud654\ud559\uc18c\uc7ac\ud3c9\uac00 \ubc0f \uc2e4\uc99d\ud654\uc5f0\uad6c\uc2dc\uc124", "706": "empty", "707": "\uc815\ubcf4\ubcf4\ud638 \uce90\ub9ad\ud130 \ud32c\uc544\ud2b8 \uacf5\ubaa8\uc804 \uc218\uc0c1\uc790 \ud22c\ud45c", "708": "\uc6b8\uc0b0\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "709": "K-\ub514\uc9c0\ud138 \uc5bc\ub77c\uc774\uc5b8\uc2a4", "710": "empty", "711": "empty", "712": "200\ub9cc\uc6d0", "713": "\uac74\uac15 \ubcf4\ud638\uc758 \uc911\uc694\uc131\uc744 \uac10\uc548\ud558\uc5ec \ubaa9\uc801 \uaddc\uc815 \uc815\ube44", "714": "4,694\uac74", "715": "\uc18c\uaddc\ubaa8 \uc5b4\uc5c5\uc6a9, \ub09a\uc2dc\uc6a9, \uc5ec\uac1d \ubc0f \ud654\ubb3c \uc6b4\uc1a1\uc6a9 \ub4f1", "716": "KAIST", "717": "\uc21c\ucc9c\ub300", "718": "\uc218\uc790\uc6d0\uc2dc\uc124", "719": "empty", "720": "DOT", "721": "\ucc28\ub7c9 \uc735\ud569 \uc2e0\uae30\uc220", "722": "\uc790\uc728\uc791\uc5c5 \ud2b8\ub799\ud130", "723": "\u2780", "724": "\uacfc\ud559\uae30\uc220\uc815\ubcf4\ud1b5\uc2e0\ubd80 \uc7a5\uad00\uc0c1", "725": "IoT\uc13c\uc11c \ud65c\uc6a9 \ud658\uacbd\uc624\uc5fc \uc2e4\uc2dc\uac04 \uce21\uc815 \ubc0f \ucd08\ub3d9\ub300\uc751 \uc11c\ube44\uc2a4", "726": "289\uc885", "727": "\uacfc\uc5c5\ub0b4\uc6a9 \ubcc0\uacbd\uc694\uccad\uc11c", "728": "\ubb3c\ub958", "729": "empty", "730": "empty", "731": "\ube44\uc8fc\uc5bc\ucea0\ud504", "732": "\uad11\uc8fc\uc815\ubcf4\ubb38\ud654\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "733": "\uad50\uc721\uc6a9 \ub85c\ubd07", "734": "IP \ucee8\uc124\ud305", "735": "\uad6c\ub9e4 \uc804", "736": "\uc911\uc18c\uae30\uc5c5, \uc18c\uc0c1\uacf5\uc778, 1\uc778\ucc3d\uc870\uae30\uc5c5 \ub4f1\uc5d0 \ub370\uc774\ud130 \uad6c\ub9e4 \ubc0f \uac00\uacf5 \uc9c0\uc6d0", "737": "\ud55c\uad6d\uc804\ub825\uacf5\uc0ac", "738": "empty", "739": "\uc0ac\uc5c5\ud654 \uc0dd\uc0b0 \ubc0f \uc5f0\uad6c \uc131\uacfc \ud655\uc0b0\uc9c0\uad6c", "740": "3\uba85", "741": "\uc7a5\ube44\ud65c\uc6a9\uad50\uc2e4", "742": "empty", "743": "40", "744": "25\uba85", "745": "\ub18d\uc2dd\ud488\ubd80", "746": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "747": "5\uc5b5 \uc6d0 \uc774\ub0b4", "748": "10\uc5b5 \uc6d0", "749": "\uc5d8\uc9c0 \uc528\uc5d4\uc5d0\uc2a4", "750": "\uc0b0\uc5c5\ub85c\ubd07", "751": "\ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8", "752": "empty", "753": "40~50%", "754": "\uc8fc 15\uc2dc\uac04 \uc774\uc0c1 \uadfc\ub85c \ubcf4\uc7a5", "755": "\ud558\uc774\ud14c\ud06c\ud31c", "756": "\ud30c\uc6cc\ubb34\ube44", "757": "\ucd5c\uc885 \ud504\ub85c\uc81d\ud2b8", "758": "\uce5c\ud658\uacbd \ucee4\ud53c\ub098\ubb34", "759": "\ub9e4\ucd9c\ucc44\uad8c \ud560\uc778\ud50c\ub7ab\ud3fc", "760": "\uc218\uc18c\uc804\uae30\ucc28 \uc808\uac1c\ubb3c", "761": "\uc544\uc8fc\ub300", "762": "empty", "763": "empty", "764": "\ud55c\uad6d\uc0dd\uba85\uacf5\ud559\uc5f0\uad6c\uc6d0", "765": "empty", "766": "empty", "767": "empty", "768": "\uc6d0\uad11\ub300", "769": "ASL", "770": "\ub9e4\ucd9c 10\ub9cc\uc720\ub85c \uc774\ud558\uc778 \uacbd\uc6b0 \uba74\uc81c", "771": "2\uc77c\ucc28", "772": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0", "773": "\uad6d\ubbfc\uc694\uad6c\ubc1c\uacac\ud558\uae30", "774": "\uacf5\ub3d9\uac1c\ubc1c \ucf54\ub85c\ub098\uadf8\ub798\ud504 \uc9c0\uc0c1\uc2dc\ud5d8\uc744 \uc704\ud55c \uac1c\uae30\uc77c\uc2dd \uacf5\ub3d9 \uad00\uce21", "775": "empty", "776": "empty", "777": "empty", "778": "\ub371\uc2a4\ud130\uc2a4\ud29c\ub514\uc624", "779": "empty", "780": "\ud55c\uc591\ub300", "781": "109\uac74", "782": "empty", "783": "\uc778\ucc9c\uc2a4\ub9c8\ud2b8\uc2dc\ud2f0", "784": "empty", "785": "empty", "786": "106\uac74", "787": "\ubbfc\uc0ac\uc18c\uc1a1\ubc95, \ud615\uc0ac\uc18c\uc1a1\ubc95, \ubc95\uc6d0\uc870\uc9c1\ubc95, \uad6d\uc81c\uc0ac\ubc95", "788": "\ud638\ud154 \ub274\ube0c", "789": "\ud2b9\ud5c8\uccad", "790": "400 \ub9cc\uc6d0", "791": "empty", "792": "KT", "793": "200\ub9cc\uc6d0", "794": "\ud558\ub0a8\uc2dc \ub0b4 IoT \uae30\ubc18 \ub178\ud6c4 \uc0dd\ud65c\u00b7\ubb38\ud654\uc2dc\uc124 \uc548\uc804\uad00\ub9ac \uc11c\ube44\uc2a4", "795": "\ud1a1\uc2a4", "796": "\ub18d\uc9c4\uccad", "797": "40\ud300\uc774\ub0b4", "798": "KBS\ubbf8\ub514\uc5b4", "799": "50\ud300\uc774\ub0b4", "800": "\ud5ec\uc2a4\ucf00\uc5b4", "801": "\uc9c0\uc790\uccb4 \uad00\ub9ac \ub300\uc0c1 \ub3c4\ub85c\ud130\ub110\uc758 \uc2e4\uc2dc\uac04 \uc0ac\uace0\uac10\uc9c0 \ubc0f \uc804\ud30c\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "802": "\uacfc\uae30\uc815\ud1b5\ubd80, \ubd80\uc0b0\uc2dc, \uae30\uc7a5\uad70, \uc11c\uc6b8\ub300\ubcd1\uc6d0", "803": "\ub514\uc9c0\ud138 \ud5ec\uc2a4\ucf00\uc5b4", "804": "\ud55c\uad6d\ubd88\uad50\ud658\uacbd\uad50\uc721\uc6d0", "805": "6/19", "806": "empty", "807": "\ub4e4\uba54\ub098\ubb34", "808": "\uadfc\ub85c\uc790 \ub300\ud45c \uc11c\uba74\ud569\uc758", "809": "5\uc5b5\uc6d0", "810": "\uacfc\uae30\uc815\ud1b5\ubd80", "811": "empty", "812": "\u321c\uc640\uc774\uc988\ub11b", "813": "empty", "814": "\uc11c\ub958\ud3c9\uac00, \ubc1c\ud45c\ud3c9\uac00", "815": "\uc790\uc720\uacf5\ubaa8", "816": "\uc5d0\ub108\uc9c0\ud658\uacbd, \uacf5\uacf5\uc6b0\uc8fc", "817": "30\uba85", "818": "\uc81c4\ucc28 \uacfc\ud559\uad00 \uc721\uc131 \uae30\ubcf8\uacc4\ud68d", "819": "empty", "820": "\ud574\ub2f9\uc0ac\ud56d \ubc1c\uc0dd\uc2dc \uc0ac\ud6c4 \uc9c0\uae09", "821": "\ud45c\uc900 \uad00\uc810 \uc5d0\ub108\uc9c0 IoT", "822": "\ud45c\uc900 \uad00\uc810\uc2a4\ub9c8\ud2b8 \ub18d\uc5c5", "823": "\ucd1d \uc0ac\uc5c5\ube44\uc758 75% \ubc94\uc704\uc5d0\uc11c \ucd5c\ub300 7\uc5b5\uc6d0", "824": "BAE Lab", "825": "2\uc5b5\uc6d0", "826": "\uac1c\uc778 \ub610\ub294 \ub2e8\uccb4", "827": "\uc2a4\ub9c8\ud2b8 \uc804\uae30\uc120\ub85c \uc9c4\ub2e8 \uc2dc\uc2a4\ud15c", "828": "\uc18c\uc7ac/\ubd80\ud488\uc758 \uc131\ub2a5, \ud658\uacbd, \uc218\uba85\ud3c9\uac00(\uc7a5\uae30\uc131\ub2a5\ud3c9\uac00 \ud544\uc218)", "829": "50\uc5b5\uc6d0", "830": "\uc5f4\ub824\ub77c \uace4\ucda9\uc138\uc0c1", "831": "empty", "832": "empty", "833": "\ud601\uc2e0\uc131\uc7a5\ub3d9\ub825", "834": "3\ubc31\ub9cc\uc6d0", "835": "\uc0b0\uc5c5\ubd80", "836": "12.5\uc5b5\uc6d0", "837": "empty", "838": "empty", "839": "\uadf9\ud55c\uc9c0 \uc0c1\uc2dc\uad00\uce21 \ubc0f \uc815\ubcf4\ucc98\ub9ac \uae30\ubc18 \ud655\ubcf4", "840": "\uace0\uc2dc\uc81c\uc815", "841": "\ubbf8\ub798 \uc7a1(Future Job) \ucf58\uc11c\ud2b8", "842": "\ucca8\ub2e8\ubcf4\uc548", "843": "\uaf2c\ubb3c\uaf2c\ubb3c \ubbf8\uc0dd\ubb3c\ud0d0\ud5d8\ub300", "844": "empty", "845": "\uc5f4\ubd84\uc11d\uc2e4", "846": "\u321c\uc3d8\uce74", "847": "\uc911\uc18c\ubca4\ucc98\uae30\uc5c5\ubd80", "848": "empty", "849": "KBS, \uc804\uc8fcMBC", "850": "empty", "851": "empty", "852": "empty", "853": "\uc815\ubcf4\ubcf4\ud638\uc2dc\uc2a4\ud15c\uad6c\uc785\ube44 \ubc0f \uc784\ucc28\ub8cc", "854": "\uc6f9\ubc29\ud654\ubcbd, SSL \uac00\uc2dc\uc131 \ud655\ubcf4 \uc11c\ube44\uc2a4", "855": "\uba54\ud0c0\ud328\uc2a4", "856": "\uacf5\uc2dc\uc5c5\ubb34 \uad00\ub9ac\uc2dc\uc2a4\ud15c \uad6c\ucd95", "857": "\uadfc\ub85c\uae30\uc900\ubc95", "858": "\u321c\uc528\uc81c\uc774\uc774\uc5d4\uc5e0", "859": "KIST", "860": "\ub3c4\uae30\ucf54\uae30 \ub8e8\ud551 \uc560\ub2c8\uba54\uc774\uc158", "861": "empty", "862": "\uccad\ucde8\ud578\ub4dc \ud30c\ub2c9\uc2a4\ube14\ub85d", "863": "\uc5b8\ud50c\ub7ec\uadf8\ub4dc \ucef4\ud4e8\ud305\ub180\uc774", "864": "\uc774\uc624\uc774\uc2a4", "865": "\uc2dc\ud5d8\u00b7\uac80\uc99d \uacb0\uacfc", "866": "2023\ub144", "867": "empty", "868": "\uc735\ud569\uc73c\ub85c \uc5ec\ub294 \uc0c8\ub85c\uc6b4 \ubbf8\ub798", "869": "\uc774\uc6a9\uc790 \uc815\ubcf4\ubcf4\ud638 \uc778\uc2dd \uc81c\uace0\ud65c\ub3d9", "870": "Tongue Kepper", "871": "\uad6c\uc9c1\uc790\uc640 \ud589\uc0ac\ucc38\uac00\uae30\uc5c5", "872": "\uacf5\uc778\ud68c\uacc4\uc0ac\ubc95\uc5d0 \uc758\ud55c \uacf5\uc778\ud68c\uacc4\uc0ac\ub85c \ub4f1\ub85d \ub41c \ud68c\uacc4\uc0ac", "873": "empty", "874": "\ucffc\ubc14\ub514\uc2a4 \ud30c\uc2a4\ud1a0\ub974\u2018\ubaa9\uc0ac\ub2d8 \uc5b4\ub514\uac00\uc138\uc694\u2019", "875": "\ud1b5\uc77c\ud55c\uad6d \ub300\ube44 \uae30\uc220\u318d\uc9c0\uc5ed\ubc1c\uc804 \uae30\uc5ec \ud2b9\ud654 \uae30\uc220", "876": "\ub354\ube14\ubbf8", "877": "\ud55c\uad6d\uae30\ucd08\uacfc\ud559\uc9c0\uc6d0\uc5f0\uad6c\uc6d0", "878": "10:10\u223c10:40", "879": "300\ub9cc\uc6d0", "880": "\ubc29\uc0ac\uc120 \ubd84\uc790\ubcc0\ud658\uae30\uc220 \uae30\ubc18 \ub09c\uce58\uc131 \uc5fc\uc99d\uc9c8\ud658 \uce58\ub8cc\uc6a9 \uc2e0\uc18c\uc7ac \uac1c\ubc1c", "881": "\uc7ac\ubb34\uad00\ub9ac, \uc778\uc0ac\uad00\ub9ac, \uc870\uc9c1\uad00\ub9ac, \uc815\ubcf4\uad00\ub9ac \ub4f1", "882": "\uc0c1\uc7a5, \uba54\ub2ec", "883": "(\uc7ac)\uc624\uc1a1\ucca8\ub2e8\uc758\ub8cc\uc0b0\uc5c5\uc9c4\ud765\uc7ac\ub2e8", "884": "\ud568\uc548\ubb38\ud654\uc608\uc220\ud68c\uad00", "885": "8\uac1c\uc758 \uc4f0\ub7ec\uc2a4\ud2b8\ub85c \uc548\uc815\ub41c \uc6b4\ud56d\uacfc \uc2e4\uce21\uc601\uc0c1 \uce21\uc815\uc5d0 \ud2b9\ud654\ub41c \uc218\uc911\uc774\ub3d9\uccb4", "886": "\uc9c0\ubc29\uc138", "887": "AI\uc758\ub8cc", "888": "\ud604\uc7a5 \ub9de\ucda4\ud615 \uc548\uc804 \uc804\ubb38\uc778\ub825 \uc591\uc131", "889": "\ud654\uc131\ud0d0\uc0ac\ub85c\ubd07", "890": "\uce74\uc774\uc2a4\ud2b8", "891": "\uc815\ub7c9\uc801 \uae30\uc900 \ubd80\uc7ac", "892": "\ucc3d\uc6d0\uacfc\ud559\uccb4\ud5d8\uad00", "893": "CIPLab", "894": "\uc735\ud569\uc5f0\uad6c", "895": "empty", "896": "1,700\ub9cc\uc6d0", "897": "\uc11c\uc6b8", "898": "\uacf5\uacf5SW\uc0ac\uc5c5", "899": "\uac1d\uccb4 \uc778\uc2dd", "900": "\ud574\uc218\ubd80, \ub18d\uc9c4\uccad, \uae30\uc0c1\uccad \u203b \uad6d\ub9bd\uacfc\ud559\uad00 \uc18c\uad00 3\uac1c \uc911\uc559\ubd80\ucc98", "901": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "902": "2\uba85", "903": "4,977\uac74", "904": "\ucca0\uc6b4\uc11d", "905": "empty", "906": "\ud3ec\ud56d\uacf5\ub300", "907": "R&D\ud22c\uc790, \uc778\ub825, \ud2b9\ud5c8 \ub4f1 \uc815\ub7c9\uc9c0\ud45c", "908": "\uc18c\ud615 \ub4dc\ub860", "909": "\uc778\ub3c4\ub124\uc2dc\uc544, \uce84\ubcf4\ub514\uc544", "910": "empty", "911": "\uae30\ucd08\uacfc\ud559\uad00", "912": "\ud3ec\uc2a4\ud130", "913": "\ub514\uc790\uc778", "914": "81%", "915": "325", "916": "\ub9e4\uc6d4", "917": "\ub18d\ud611\uc740\ud589", "918": "\ubc14\uc774\uc624 \uc778\uacf5\uc7a5\uae30", "919": "3\uc5b5\uc6d0", "920": "empty", "921": "17.6\uc5b5 \uc6d0", "922": "\ud39c\ud0c0\uc2dc\uc2a4\ud15c", "923": "20\uac1c\ud300", "924": "empty", "925": "\uc778\uac04\ucc98\ub7fc \ub611\ub611\ud55c \uc4f0\ub808\uae30\ud1b5", "926": "\uc911\uad6d \uc8fc\ucde8\uc548 \uc704\uc131\ubc1c\uc0ac\uc13c\ud130", "927": "empty", "928": "\uc0ac\uc774\uc5b8\uc2a4 \ub85c\ub4dc\uc1fc", "929": "empty", "930": "200\uc774\uc0c1", "931": "5\ucc44\ub110", "932": "3. 8.", "933": "empty", "934": "\uc81c\uc5b4\uae30\uc220", "935": "\uc790\uc720\uacf5\ubaa8", "936": "\ud658\uacbd\ubd80", "937": "\ub124\ub35c\ub780\ub4dc", "938": "empty", "939": "2000", "940": "\uc804\ubb38\uc778\ub825\uc9c0\uc6d0", "941": "\uc2a4\uc2a4\ub85c \uacfc\ud559\ud0d0\uad6c", "942": "8\uc77c\uac04", "943": "5\ub144", "944": "3\ub4f1\uae09", "945": "C1\uac00\uc2a4\ub9ac\ud30c\uc774\ub108\ub9ac", "946": "\uace0\ud6a8\uc728\uc5d0\ub108\uc9c0", "947": "\ud3c9\ucc3d\uad70, \uac15\ub989\uc2dc", "948": "\uc2a4\ud398\uc774\uc2a4\uc6cc\ub9ac\uc5b4", "949": "896\uba85", "950": "\uc778\ub3c4", "951": "\uacfc\ud559\uc735\ud569\ud0d0\uad6c", "952": "1\ub9cc\uba85", "953": "\uc678\uad50\uc801 \uc601\ud5a5", "954": "empty", "955": "\ub098\ub178\uae30\uc220", "956": "empty", "957": "empty", "958": "\ube45\ub370\uc774\ud130", "959": "15%", "960": "\uc120\ubbfc\uc544\uc774\ub4e4\uc138\uc0c1", "961": "4\uac15 \ud1a0\ub108\uba3c\ud2b8", "962": "\ubcf8\ubd80\ub3d9, \uc774\uc6a9\uc790\uc219\uc18c\ub3d9, \uc548\ub0b4\uc13c\ud130", "963": "\ud575\uc2ec\uae30\uad00", "964": "26,000 \uae30\ubcf8 \uac10\uba74 \ubc0f \ucd94\uac00 \ud1b5\ud654\ub8cc 50% \uac10\uba74", "965": "\uc990\uae30\ub294 VR", "966": "empty", "967": "\uc2a4\ub9c8\ud2b8\ub85c\ubd07 \uc54c\ubc84\ud2b8", "968": "\uc778\ub3c4\ub124\uc2dc\uc544", "969": "\uc11c\uc6b8\ub300", "970": "empty", "971": "\ub450\ub1cc\ud65c\ub3d9", "972": "\uc601\uc5b4", "973": "\ud06c\ub9ac\uc5d0\uc774\ud2f0\ube0c\ubc24", "974": "\ub2ec\ub9ac\uc6cd\uc2a4", "975": "empty", "976": "\uac01 200\ub9cc\uc6d0", "977": "\ud658\uacbd", "978": "700\ub9cc\uc6d0", "979": "empty", "980": "IoT \uae30\uc220 \ubc0f \ud1b5\uc2e0\ub9dd\uc744 \uc774\uc6a9\ud55c \ub178\uc778 \uc548\uc2ec \ubc34\ub4dc", "981": "\uba58\ud1a0\ub9c1 \uc2e4\uc2dc", "982": "\uc704\uce58\uae30\ubc18 \uc778\uc99d\uc11c\ube44\uc2a4", "983": "\uace0\ud574\uc0c1\ub3c4\uce74\uba54\ub77c", "984": "\ub124\ud2b8\uc6cc\ud06c \ubc0f \uc5ed\ub7c9 \uac15\ud654", "985": "6\uc7a5", "986": "K-ICT 3D\ud504\ub9b0\ud305 \uc9c0\uc5ed\uc13c\ud130", "987": "\uc2ec\uc6b0\uc8fc\uc9c0\uc0c1\uad6d", "988": "\ubc1c\uc0ac \ubc0f \ud398\uc5b4\ub9c1 \ubd84\ub9ac \ud6c4\uc704\uc0c1\uc804\uc774\uada4\ub3c4 \ud22c\uc785", "989": "\ucda9\ubd81\ub300", "990": "empty", "991": "\uc804\ud1b5\uacfc\ud559\uad00", "992": "AA + \ubaa8\ubc14\uc77c\uc571,\uae30\uae30\ud655\uc7a5\uc131, \ubcf4\uc548", "993": "empty", "994": "\uc911\uad6d \ubd81\uacbd\uc2dc", "995": "empty", "996": "\uc0b0\u00b7\ud559\u00b7\uc5f0\u00b7\uad00\uc758 \uc774\ud574\uad00\uacc4 \uc870\uc728 \ubc0f \ud611\uc758\ud68c \uc6b4\uc601 \ucd1d\uad04", "997": "\uc5b4\ub2c8\uc2a4\ud2b8\ubca4\ucc98\uc2a4", "998": "\ub9f5\ud14c\ud06c", "999": "empty", "1000": "10\ub144", "1001": "3.30(\ubaa9)", "1002": "KAIST", "1003": "empty", "1004": "\ud300 \ud504\ub85c\uc81d\ud2b8", "1005": "\uacbd\ubd81\ub300", "1006": "\uc790\uc720\uacf5\ubaa8", "1007": "\ub300\uc804", "1008": "1,000\ub9cc\uc6d0", "1009": "\uc7a5\ub824\uc0c1", "1010": "\ud64d\ubcf4\ub9c8\ucf00\ud305\ube44 \uc9c0\uc6d0", "1011": "\ucc3d\uc5c5 3\ub144 \uc774\uc0c1", "1012": "2\ub144", "1013": "\uc911\uc18c \ubcf4\uc548\uc5c5\uccb4 \uc9c0\uc6d0 \ubc0f \uae30\uc5c5 \uac04 \ud611\uc5c5 \uc720\ub3c4 \ucee8\uc18c\uc2dc\uc5c4(2\uac1c \uae30\uc5c5 \uc774\uc0c1) \uc911\uc18c\uae30\uc5c5", "1014": "empty", "1015": "\ucf54\uc544\uc18c\ud504\ud2b8", "1016": "UHD", "1017": "\uc784\uc0c1\uc758\uacfc\ud559\uc5f0\uad6c\uc5ed\ub7c9\uac15\ud654", "1018": "\uc6b0\uc8fc\uc758 \ud3c9\ud654\uc801 \uc774\uc6a9\uacfc \ud0d0\uc0ac\uc640 \uad00\ub828\ud558\uc5ec \uc0c1\ud638 \uc774\uc775\uacfc \ud611\ub825\ucd09\uc9c4", "1019": "\ud734\ub9ac\uc2a4\ud2f1\uc2a4 \uc804\uc0b0\uae30\ubc18 \uae30\ub2a5\uc131 \ubb34\uae30\uc18c\uc7ac \ucc3d\uc81c \uc5f0\uad6c", "1020": "5\uc5b5\uc6d0", "1021": "empty", "1022": "29, 39, 49\ubc88", "1023": "\uc804\uae30, \uc804\uc790, \ucef4\ud4e8\ud130, \uc815\ubcf4\ud1b5\uc2e0 \ub4f1", "1024": "1\uc5b52\ucc9c\ub9cc\uc6d0", "1025": "\ub0a8\ubbf8", "1026": "\uc2f1\ud06c\uc2a4\ud398\uc774\uc2a4\ud300", "1027": "23\uac1c", "1028": "empty", "1029": "\uacfc\ud559\uad00", "1030": "\ubd80\ucc98\u2024\uc601\uc5ed\uac04 \uce78\ub9c9\uc774 \uc81c\uac70", "1031": "empty", "1032": "\uc11c\uc6b8", "1033": "empty", "1034": "3\uc8fc", "1035": "BELL SOFT", "1036": "\ub9c8\uc778\uc988\ub7a9", "1037": "\uc18c\ubc29\uc0b0\uc5c5\uae30\uc220\uc6d0", "1038": "AMC\uc5d0\ub108\uc9c0", "1039": "\uad6d\ub0b4\uc678 \uae00\ub85c\ubc8c\uae30\uc5c5\uacfc \uc2a4\ud0c0\ud2b8\uc5c5\uac04 \uc0c1\uc0dd\ud611\ub825 \ubc29\ud5a5 \ub17c\uc758", "1040": "\uc804\uc790\ubb38\uc11c \uc790\uac00\ub300\uc751 \uc720\ucd9c\ubc29\uc9c0 \uae30\uc220", "1041": "Preksh Innovations", "1042": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 \uc11c\ubc84", "1043": "260\uba85", "1044": "\uc7a5\uc560\uc778 \uc8fc\ucc28\uad00\ub9ac\uc11c\ube44\uc2a4", "1045": "LTE \uc774\ub3d9\uc2dd \uc9c0\ub2a5\ud615 CCTV", "1046": "\uc800\uc120\ub7c9 \uc6b0\uc8fc\ubc29\uc0ac\uc120\uc758 \uc778\uccb4\ub178\ucd9c \uc601\ud5a5 \ubc0f \ud53c\ud574\uc800\uac10\uae30\uc220 \uc18c\uac1c", "1047": "\ud55c\uc591\ub300", "1048": "empty", "1049": "\uc885\ud569\ud3b8\uc131\ucc44\ub110\ub85c \ub274\uc2a4, \ub4dc\ub77c\ub9c8, \uc5f0\uc608\uc624\ub77d \ud3b8\uc131", "1050": "500\ubc31\ub9cc\uc6d0", "1051": "\uce90\uce58\uc787\ud50c\ub808\uc774", "1052": "\uc5f0\uadfc\ud574 \ud574\uc591\uc624\uc5fc \uac10\uc2dc \ubc0f \ud574\uc591\ud658\uacbd \uce21\uc815\uc744 \uc704\ud55c \ud558\uc774\ube0c\ub9ac\ub4dc\ud615 \uc18c\ud615\ubb34\uc778\uae30 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "1053": "empty", "1054": "5\ub144 \uc774\uc0c1 \uc5c5\ub825\uc758 \uacf5\uacf5\uae30\uc220\uae30\ubc18 \ubca4\ucc98\uae30\uc5c5", "1055": "empty", "1056": "500\ub9cc\uc6d0+\ud574\uc678\uc5f0\uc218", "1057": "\uc5f0\uad6c\ud65c\ub3d9\uc885\uc0ac\uc790 \uad50\uc721\uff65\ud6c8\ub828", "1058": "\uace0\ub4f1\ud559\uc0dd", "1059": "\uc784\uc0c1 \uc758\uacfc\ud559\uc790 \uc5f0\uad6c\uc5ed\ub7c9\uac15\ud654 \uc0ac\uc5c5", "1060": "\ub514\uac8c\uc774\ud2b8(\uc8fc)", "1061": "\ub7ec\uc2dc\uc544", "1062": "1970\ub144\ub300", "1063": "ISMS", "1064": "\ub274\ubbf8\ub514\uc5b4 \uc218\ud654\ubc29\uc1a1\uad6d", "1065": "\uc815\uc218\uc2dc\uc124 \uc81c\uc5b4\uc2dc\uc2a4\ud15c \ubcf4\ud638\ub97c \uc704\ud55c \ubcf4\uae09\ud615 \uc77c\ubc29\ud5a5 \ud1b5\uc2e0\uc7a5\ube44 \uac1c\ubc1c \ubc0f \uc2dc\ubc94\uc801\uc6a9", "1066": "\uc9c0\uc2dd\uc7ac\uc0b0 \uc911\uc2ec\uc758 \uc5f0\uad6c\uac1c\ubc1c \uad00\ub9ac\uac15\ud654", "1067": "\ub300\uc6b0\uc815\ubcf4\uc2dc\uc2a4\ud15c", "1068": "\uc790\ud2b8\ucf54\ucf54\ub9ac\uc544", "1069": "\uad6d\ubbfc\uc0dd\ud65c \ubcf4\ud638\u2024\ub300\uc751", "1070": "\uc2a4\ub9c8\ud2b8\ubbf8\ub514\uc5b4", "1071": "empty", "1072": "5\uc810", "1073": "empty", "1074": "\uc288\ud305\uce74\uba54\ub77c", "1075": "IoT", "1076": "\uc0bc\uc601\uc38c\ub808\ud2b8\ub77c", "1077": "empty", "1078": "\u321c\uc9c0\ub780\uc9c0\uad50\uc2dc\ud050\ub9ac\ud2f0", "1079": "empty", "1080": "\ubd80\uc0b0, \uad11\uc8fc, \uacbd\uae30", "1081": "IoT \ub514\ubc14\uc774\uc2a4 \uac04 \uc5f0\uacb0 \uc2dc \uc778\uc99d\uc774 \ud544\uc694\ud55c \uae30\uae30", "1082": "76\uc5b5\uc6d0", "1083": "\uc778\uac04\uacfc \uc678\uacc4\uc778\uc758 \uacf5\uc874\uacfc \ubc94\uc6b0\uc8fc\uc801 \ud734\uba38\ub2c8\uc998 \uc5f0\ucd9c", "1084": "17\uac1c\uc0ac", "1085": "\uc5d0\ub108\uc9c0\ubd84\uc11d\uc11c\ube44\uc2a4", "1086": "empty", "1087": "\ub300\ud559\ucc3d\uc870\uc77c\uc790\ub9ac\uc13c\ud130", "1088": "\ucc3d\uc758\uc7ac\ub2e8", "1089": "12\uc5b5\uc6d0\ub0b4\uc678", "1090": "SNS", "1091": "101.43\uc5b5\uc6d0", "1092": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80", "1093": "empty", "1094": "\uce74\uc2a4\ucef4", "1095": "empty", "1096": "\ubaa8\ubc14\uc77c \uc0b0\uc5c5\uc758 \ubcc0\ud654", "1097": "\ud559\uae09\ubcc4 \ud3c9\uade0 1,200\ub9cc\uc6d0", "1098": "\uc62c\ub808 1\ud638", "1099": "370", "1100": "\uc7a0\uae08\uc7a5\uce58", "1101": "\ud0a4\uc704\ud50c\ub7ec\uc2a4", "1102": "KIST", "1103": "\uc751\uc6a9\ud504\ub85c\uadf8\ub7a8 \uacfc\uc815", "1104": "\uffed\uacfc\ud559\uae30\uc220\uad6d\uc81c\ud654\uc0ac\uc5c5", "1105": "HTML \uc18c\uc2a4\ub0b4\uc758 \ub9c1\ud06c \ubc0f \uc575\ucee4\uac00 \uc720\ud6a8\ud55c\uc9c0, \uc815\uc0c1\uc801\uc73c\ub85c \uc791\ub3d9\ud558\ub294\uac00", "1106": "VR\uc601\uc0c1\ud50c\ub7ab\ud3fc", "1107": "\ud55c\uad6d\uc5b4-7\uac1c \uc5b8\uc5b4 \uac04 \uc790\ub3d9\ud1b5\ubc88\uc5ed \uae30\uc220 \uac1c\ubc1c \ubc0f \uace0\ub3c4\ud654", "1108": "\ubc14\uc774\ub7ec\uc2a4, \ubc15\ud14c\ub9ac\uc544\uc758 \ud2b9\uc9d5", "1109": "50%", "1110": "\ud654\ud559", "1111": "\uc911\uc720", "1112": "\ud0c4\uc18c\uc0b0\uc5c5 \u2461 \ub18d\uc0dd\uba85", "1113": "605\uc5b5 2,800\ub9cc \ub2ec\ub7ec", "1114": "empty", "1115": "\ub17c\ubb38 \uac1c\uc7ac", "1116": "\u321c\ud55c\uad6d\uacf5\uac04\uc815\ubcf4\ud1b5\uc2e0", "1117": "LG CNS \uc778\ucc9c \ub370\uc774\ud130\uc13c\ud130", "1118": "empty", "1119": "\uc815\ubcf4\ubcf4\uc548", "1120": "10:00", "1121": "\uc800\uc791\uad8c", "1122": "\ud55c\uad6d\ud654\uc774\ubc14", "1123": "\uc774\uc640\uc774\uc5d8", "1124": "\uc544\uc8fc\ub300\ud559\uad50", "1125": "20", "1126": "\uc6b0\uc8fc \ubcc4\ud0c4\uc0dd \uc5ed\uc0ac \uaddc\uba85\uc744 \uc704\ud55c \uadfc\uc801\uc678\uc120 \uc601\uc0c1\ubd84\uad11\uae30", "1127": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80", "1128": "\uac04\uc12c\uc720\ud654 \uce58\ub8cc\uc81c", "1129": "\ub300\uc0c1", "1130": "3,600\ubc31\ub9cc\uc6d0", "1131": "\uc99d\uac15\ud604\uc2e4\uc18c\ud504\ud2b8\uc6e8\uc5b4", "1132": "\u321c\uc544\uc0ac\ub2ec21", "1133": "8\uba85", "1134": "\uacf5\uc720\uc874", "1135": "2\ud3b8", "1136": "\uad6d\ubbfc\uacc4\uc815\uccb4\uacc4", "1137": "\uc704\uc6d0", "1138": "\uc0ac\uc5c5\ube44\uac80\ud1a0\uc704\uc6d0\ud68c", "1139": "\ub450\uc6d0\uc911\uacf5\uc5c5", "1140": "empty", "1141": "\ube0c\ub77c\uc9c8 \ud3ec\uc218\uc2a4 \uc9c0\uce74\uc6b0\ub2e4\uc2a4", "1142": "\uc798 \uae68\uc5b4\uc9c0\uc9c0 \uc54a\uace0 \uac00\ubcbc\uc6b4 \ub3c4\uc790\uae30 \uac1c\ubc1c", "1143": "empty", "1144": "\ud574\uc6b4\ub300\uc13c\ud140\ud638\ud154 4\uce35", "1145": "\uc2dc\uc81c\ud488 \uc81c\uc791", "1146": "\uc804\uad6d\ub9dd", "1147": "empty", "1148": "\uc911\ubd80\uc13c\ud130", "1149": "empty", "1150": "2013\ub144", "1151": "TAPAS \ubbf8\ub514\uc5b4", "1152": "empty", "1153": "75\uac1c\uad6d290\uba85", "1154": "\ubd84\uc784\ud1a0\uc758", "1155": "\ubbf8\ud761", "1156": "empty", "1157": "\ucd94\ucc9c\ucf54\uc2a4 \uc548\ub0b4 \uc11c\ube44\uc2a4", "1158": "\ucca8\ub2e8\uacfc\ud559\uc218\uc0ac \uae30\uc220\u2027\uae30\ubc95 \uac1c\ubc1c(K-CSI)", "1159": "\ub9ac\uae30\ud14c\ub2e4\uc18c\ub098\ubb34 \uc885", "1160": "\uc81c\uc870 \ud504\ub85c\uc138\uc2a4 \ubd84\uc11d\uc744 \uc704\ud55c \ube45\ub370\uc774\ud130 \ud074\ub77c\uc6b0\ub4dc \uc11c\ube44\uc2a4", "1161": "\ub098\ub85c\uc6b0\uc8fc\uc13c\ud130", "1162": "\ubd81\uacbd", "1163": "\ub3d9\uad6d\ub300 \ub4f15\uac1c \ub300\ud559", "1164": "\ubbf8\ub798\ubd80", "1165": "empty", "1166": "empty", "1167": "\u25b4\uc911\uc99d\uc9c8\ud658\uc790After-Care\uae30\uc220\uac1c\ubc1c \ubc0f \uc2e4\uc99d", "1168": "\uc2dc\ubc94\uc6b4\uc6a9", "1169": "\ub300\uc0b0\uc804\uc790\u321c", "1170": "\ubd88\ubc95\uc74c\ub780\uc815\ubcf4 \ubc0f \uccad\uc18c\ub144\uc720\ud574\ub9e4\uccb4\ubb3c \ud53c\ud574 \ubc29\uc9c0", "1171": "\uace0\ub4f1\ud559\uc0dd", "1172": "2015\ub144\ub3c4 \uad6d\uac00\uc9c0\uc2dd\uc7ac\uc0b0 \uc2dc\ud589\uacc4\ud68d", "1173": "\uc2dc\uc2a4\ud15c\uc744 \ud1b5\ud574 \uac70\ub798\uc815\ubcf4\ub9cc \uc81c\uacf5", "1174": "2015.10\uc6d4", "1175": "empty", "1176": "17\uac1c\uae30\uad00", "1177": "2\uc5b5\uc6d0", "1178": "\ubd80\ud68c\uc7a5", "1179": "empty", "1180": "\uacfc\ud559\ub85c\ucf13\uc13c\ud130", "1181": "\ud504\ub85c\uc81d\ud130\uc601\uc0c1 \uadf8\ub798\ud53d\ud328\ub110\uce74\ud3ab\ud0c0\uc77c", "1182": "\ucd08\uc74c\ud30c \uc13c\uc11c\ub97c \ud1b5\ud574 \uc18d\ub3c4 \uc624\ub958\uac00 \ubc1c\uc0dd\ud558\uc9c0 \uc54a\ub294 \ub85c\ubd07\uccad\uc18c\uae30 \uac1c\ubc1c", "1183": "KAIST \ub300\uac15\ub2f9", "1184": "\ud658\uc790 \uc774\uc1a1\uad00\ub9ac\uc2dc\uc2a4\ud15c", "1185": "empty", "1186": "SK\ud154\ub808\ucf64(\uc8fc)", "1187": "\uc804\uae30\ub97c \ube5b\uc73c\ub85c \ube5b\uc744 \uc804\uae30\ub85c", "1188": "250 kbps", "1189": "\ud1b5\uc2e0\uc5c5\uc885 \uc628\uc2e4\uac00\uc2a4 \uac10\ucd95\ub960 \ubd80\ub2f4 \uc644\ud654", "1190": "\uc2e0\ub0a8", "1191": "empty", "1192": "40%", "1193": "\uad6d\ub9bd\uacfc\ucc9c\uacfc\ud559\uad00", "1194": "\ube44\ud589", "1195": "G23", "1196": "Creativity of God", "1197": "\uc7a5\ub824\uc0c1", "1198": "\uc5f0\ub3c4\ubcc4 \uc0ac\uc5c5\ube44\uc758 75% \uc774\ub0b4", "1199": "empty", "1200": "\uc5c4\ub9c8\ub3c4 \ub9cc\ub4e4\uc5b4 \ubd10\uc694\ud55c\uc9c0\uacf5\uc608 \uc190\uac70\uc6b8", "1201": "\ucc3d\uc758\uc801 \uc124\uacc4 \ub85c\ubd07\ud0a4\ud2b8", "1202": "empty", "1203": "empty", "1204": "\u321c\ud55c\uc704\ub4dc\uc815\ubcf4\uae30\uc220", "1205": "\uc778\uac04\uc911\uc2ec", "1206": "\uc0b0\ub9bc\uccad \uad6d\ub9bd\uc218\ubaa9\uc6d0", "1207": "empty", "1208": "1\uc704", "1209": "\uc815\ubcf4\ubcf4\ud638\uc77c\ubc18", "1210": "empty", "1211": "484", "1212": "KAI", "1213": "\uc18c\uc15c \ub864\ub9c1\ud398\uc774\ud37c \ud6c4\ud53c", "1214": "IoT \uc911\uc2ec \uc591\ubc29\ud5a5 \uae30\uc5c5 \uc218\uc694 \ud611\ub825 \uc0c1\ub2f4", "1215": "\uc548\uc131\ub3d9\ud3c9\uace8\ud504\uc7a5 \uc870\uc131\uc0ac\uc5c5", "1216": "\uce74\uc774\ub85c\uc2a4\uc653\uce58\uc2a4", "1217": "20% \uc774\ud558", "1218": "empty", "1219": "empty", "1220": "empty", "1221": "\uc2e0\ub0a8\uc131\ucd08\ub4f1\ud559\uad50", "1222": "\uacc4\ud68d \ub300\ube44 \uacf5\uc815\ub960", "1223": "empty", "1224": "100%", "1225": "31\uc810", "1226": "\uc77c\ubc18\ud589\uc815", "1227": "\ud658\uacbd\ubd80", "1228": "empty", "1229": "\ubbf8\ub798\ubd80", "1230": "COEX", "1231": "\ud6cc\ub96d\ud55c \uc870\uc885\uc0ac", "1232": "empty", "1233": "\u321c\uc7ac\ud50c", "1234": "empty", "1235": "\uc804\uc790\uc0b0\uc5c5\uacfc \uacfc\ud559\uae30\uc220\ubd84\uc57c \uc9c1\uc5c5", "1236": "\uacf5\ub3d9\uc704\uc6d0\uc7a5", "1237": "\ub300\uc804", "1238": "\uac00\uc7a5 \uc548\uc804\ud55c \ub2ec\uac40 \ucc29\ub959\uc120", "1239": "\ub808\uc774\ube14\ubc14\uc774\ubbf8(labelby.me)", "1240": "\uc591\ubc29\ud5a5 \uad50\uc721\ubc29\uc1a1\uc744 \uc704\ud55c \uc778\ud130\ub809\ud2f0\ube0c \uc5b4\ud50c\ub9ac\ucf00\uc774\uc158 \ud50c\ub7ab\ud3fc", "1241": "\ubd80\uc0b0\ub300\ud559\uad50", "1242": "empty", "1243": "\u321c\ucf54\ub80c\ud14d, \uc720\uc5d4\uc544\uc774(\uc8fc)", "1244": "empty", "1245": "\uad6d\ub0b4\ub3c5\uc790 \uc704\uc131\ubcf8\uccb4", "1246": "\ube14\ub8e8\ud22c\uc2a44.0 \uae30\uc220\uc744 \uc801\uc6a9\ud55c \uc804\uc2dc\ud488 \ub3c4\ub09c\ubc29\uc9c0 \uad00\ub9ac \uc7a5\uce58", "1247": "\ud074\ub77c\uc6b0\ub4dc \uad6d\ub0b4\uc815\ucc45\uacfc \ud574\uc678 \ud2b8\ub80c\ub4dc", "1248": "48\uac1c", "1249": "empty", "1250": "empty", "1251": "1\uc5b5\uc6d0", "1252": "\uc9c0\uc2dd\uc7ac\uc0b0 \ucc3d\ucd9c\u00b7\ubcf4\ud638, \ub098\ub214\u00b7\ud655\uc0b0\uc744 \uc704\ud55c \ubbfc\u00b7\uad00 \ud611\ub825 \ud655\ub300", "1253": "\ub300\ud55c\uc0c1\uacf5\ud68c\uc758\uc18c", "1254": "\uc2a4\uc704\uc2a4", "1255": "\uc218\ud559", "1256": "\ub514\ucea0\ud504/\ub9e4\ub2c8\uc800", "1257": "\ube45\ub370\uc774\ud130 \ubd84\uc11d\uac00- \uc778\uacf5\uc9c0\ub2a5 \uc54c\uace0\ub9ac\uc998 \uac1c\ubc1c\uc790- \ubb34\uc778\uc790\ub3d9\ucc28 \uac1c\ubc1c\uc790- \uc778\uac04 \ub1cc \ubaa8\ubc29 \ucef4\ud4e8\ud130 \uac1c\ubc1c\uc790", "1258": "COEX", "1259": "100\ubd84", "1260": "\ub2f7\ub124\uc784\ucf54\ub9ac\uc544", "1261": "13.82 \uc5b5\uc6d0", "1262": "2014\ub144", "1263": "8\uac1c\uc6d4", "1264": "\ud658\uacbd\uad00\ub828\ud559\uacfc \uc878\uc5c5\uc0dd \ub610\ub294 \uc878\uc5c5 \uc608\uc815\uc790", "1265": "\ube14\ub77c\uc778\ub4dc \ud1b5\ud569\uc2ec\uc0ac", "1266": "\ucc3d\uc5c5 \uc18c\uc591 \ud568\uc591 \uc911\uc2ec\uc758 \uae30\uc5c5\uac00\uc815\uc2e0 \uad50\uc721 \ud504\ub85c\uadf8\ub7a8", "1267": "\u2596(\uc548\uc804) \uc989\uc2dc\uc2e0\uace0 \uae30\ubc18\uc758 \uc7ac\ub09c\u2024\uc7ac\ud574 \ub300\uc751\uc2dc\uc2a4\ud15c \uad6c\ucd95 \ub4f1", "1268": "empty", "1269": "\uc27d\uace0 \ud3b8\ub9ac\ud55c NTIS \uc6f9\uc11c\ube44\uc2a4 \uc81c\uacf5", "1270": "empty", "1271": "4.5(\ud1a0)\uff5e6.1(\uc77c)", "1272": "500", "1273": "\uc0ac\ud68c\ubcf5\uc9c0 \ud604\uc7a5\ubc29\ubb38 \ubc0f \ubcf4\uace0\uc11c \uc791\uc131, \ud6c4\uc6d0\uc790 \ubc0f \uc790\uc6d0\ubd09\uc0ac\uc790 \ubaa8\uc9d1\uad00\ub9ac", "1274": "\ud55c\uad6d\ub1cc\uc5f0\uad6c\uc6d0, \ub3d9\uad6d\ub300\uc77c\uc0b0\ubd88\uad50\ubcd1\uc6d0, \uc778\ud558\ub300\ud559\uad50, \uc140\ud2b8\ub9ac\uc628", "1275": "\uc774\ub3d9\uacbd\ub85c\ub97c \uace0\ub824\ud558\uc5ec \uc9d1\uc911\ub418\ub294 \uc9c0\uc810", "1276": "\uc0ac\ubb3c\uc778\ud130\ub137", "1277": "\ub1cc\ud558\uc218\uccb4 \ud638\ub974\ubaac\uc758 \ubd84\ub9ac", "1278": "\ud22c\uc790\uc720\uce58 \ub370\ubaa8\ub370\uc774", "1279": "\uc0b0\ud558\uc704\uc6d0\ud68c", "1280": "\uc5f0\uad6c\uac1c\ubc1c\uc131\uacfc\uc9c0\uc6d0\uc13c\ud130", "1281": "\uc9c0\uc2dd\uc7ac\uc0b0 \ubd84\uc7c1 \ubc0f \uce68\ud574 \ub300\uc751 \ub2e4\uac01\ud654", "1282": "KnowRe", "1283": "empty", "1284": "empty", "1285": "\uc9c0\uc5ed \ud655\uc0b0", "1286": "\ub300\uc804\uc2dc \uc720\uc131\uad6c, \ub300\ub355\uad6c \uc77c\uc6d0", "1287": "\uba58\ud1a0\ub9c1", "1288": "\uad00\uc545\uc0b0\u2024\ub0a8\uc0b0", "1289": "\uc0b6\uc758 \uc9c8 \ud5a5\uc0c1", "1290": "\ubcd1\ub82c\ud615 \uacfc\uc81c\uc218\ud589", "1291": "\uae30\uc5c5\uac00\uc815\uc2e0 \ubc0f \ucc3d\uc5c5\uc5ec\uac74 \uc870\uc131 \ub4f1", "1292": "\uc0dd\uccb4\ud504\ub9b0\ud305 \uae30\uc220", "1293": "M&A \ubc95\uc81c\uc815\ube44", "1294": "3/4~3/28", "1295": "13\uba85", "1296": "2013\ub144 9\uc6d4", "1297": "120\ubd84", "1298": "\ub514\uc9c0\ud138\ucf58\ud150\uce20\uc0b0\uc5c5\uc721\uc131", "1299": "\uad6d\ub9bd\uc911\uc559\uacfc\ud559\uad00 \uc8fc\uc694 \ud504\ub85c\uadf8\ub7a8 \uc548\ub0b4", "1300": "\uc790\uccb4\ud3c9\uac00\uc704\uc6d0\ud68c", "1301": "\uc778\ud154\ub9ac\uc804\ud2b8 \ub85c\ubd07", "1302": "2014", "1303": "\ud2b9\ud5c8\uccad", "1304": "\ubbf8\ub798\ubd80", "1305": "\ucd08\u2027\uc911\u2027\uace0 \uc5f0\uacc4\ud615", "1306": "\uc81c\uc870 \uc218\uc728 95% \uc774\uc0c1, \uc0c1\uc6a9\uae09 \uaddc\ubaa8(5kg/batch)", "1307": "\uad70 \uc804\uc220\ud6c8\ub828 \uc2dc\ubbac\ub808\uc774\ud130", "1308": "\ubcf4\uac74\ud658\uacbd", "1309": "151 \uac74", "1310": "\uc644\uc804\uc785\uccb4 3D \uc601\uc0c1\uc744 \ub300\ud654\uba74\uc73c\ub85c \uc800\uc791\u2027\uc555\ucd95\uc804\uc1a1\u2027\ub514\uc2a4\ud50c\ub808\uc774\ud558\ub294 \uae30\uc220", "1311": "empty", "1312": "(\uc8fc)\ud55c\ube5b\ub808\uc774\uc800", "1313": "empty", "1314": "PSLV", "1315": "\uc6b8\uc0b0\uacfc\ud559\uae30\uc220\ub300\ud559\uad50", "1316": "empty", "1317": "\uc561\uccb4\uc640 \uace0\uccb4", "1318": "\ubbf8\ub798\ucc3d\uc870\uacfc\ud559\ubd80 SW\uc0b0\uc5c5\uacfc", "1319": "\ub300\uc0c1", "1320": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0 \ubfcc\ub9ac\uc0b0\uc5c5\uc9c4\ud765\uc13c\ud130", "1321": "\ud06c\ub85c\ud0a4", "1322": "\ud55c\uad6d\uc2a4\ub9c8\ud2b8\uce74\ub4dc", "1323": "\ube44\uc528\uc6d4\ub4dc \ucee8\uc18c\uc2dc\uc5c4", "1324": "\uc5ec\uc131 \uc911\uacac\uad00\ub9ac\uc790 \ub9ac\ub354\uc2ed \uad50\uc721", "1325": "\ud55c\uad6d\uc5f0\uad6c\uc7ac\ub2e8", "1326": "empty", "1327": "STSAT-3", "1328": "\uc138\uc0c1\uc5d0\uc11c \uac00\uc7a5 \ube60\ub978 \uc0dd\uac15\ube75\ub9e8", "1329": "\ubbf8\ub798\uc2dc\ub098\ub9ac\uc624", "1330": "empty", "1331": "3\uba85", "1332": "empty", "1333": "\uc0ac\uc774\ubc84\uacf5\uaca9 \ub300\uc751\uae30\uc220", "1334": "KAIST", "1335": "\ud658\uacbd\ubd80", "1336": "\uc784\ubca0\ub514\ub4dc SW", "1337": "\uc885\uc774\ub07c\uc6b0\uae30\ub97c \uc774\uc6a9 \ud0c0\uc6d0\uba74 \ub9cc\ub4e4\uae30", "1338": "\ubca4\ucc98 CEO\uc758 \ub0a0", "1339": "400\uac1c\uc0ac", "1340": "\uc790\uae08 \uc720\uce58 \ucee8\uc124\ud305", "1341": "\ud55c\uad6d\uc5ec\uc131\uacfc\uae30\ub2e8\uccb4\ucd1d\uc5f0\ud569\ud68c", "1342": "\uc2a4\ud1a0\ub9ac, \uc74c\uc545, \uac8c\uc784 \ub4f1 \ubb38\ud654\ucf58\ud150\uce20", "1343": "empty", "1344": "\uc9c0\uacf5\uac04\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1 \ud611\ub3d9\uc870\ud569", "1345": "\ud55c\uad6d\uad50\uc6d0\ub300\ud559\uad50 \ucef4\ud4e8\ud130\uad50\uc721\uacfc", "1346": "empty", "1347": "empty", "1348": "empty", "1349": "30%", "1350": "\uc11c\uc6b8\uad11\uc9c4\ub3c4\uc11c\uad00", "1351": "\ubb38\ud654\ubc29\uc1a1", "1352": "\uc544\uce74\uc774\ube0c, \ub370\uc774\ud130\ubca0\uc774\uc2a4, \uc11c\ubc84, Shared Server \ub4f1", "1353": "\uc6b0\uc8fc\ubc1c\uc0ac\uccb4 \uac1c\ubc1c\uae30\uc220", "1354": "\uae30\uc220\uc815\ubcf4 \ud611\ub3d9\uc870\ud569", "1355": "1987\ub144", "1356": "CAN2SAT", "1357": "\uad6d\ubc29\u2027\uae30\uacc4\uac74\uc124\u2027\uad50\ud1b5", "1358": "\ucc3d\uc6d0\u2027\uacbd\ub0a8", "1359": "8\uc2dc\uac04", "1360": "\uc591\uc131\uc790 \uac00\uc18d\uae30", "1361": "empty", "1362": "50\uff05", "1363": "\ud559\uc0dd\uc774 \ucd5c\ub300 8\ud559\uae30\uc758 \ucc3d\uc5c5\ud734\ud559\uc774 \uac00\ub2a5\ud558\ub3c4\ub85d \uac1c\uc120", "1364": "\uccad\uc815 \uace0\ud6a8\uc728 \uc800\uac00 \ubbf8\ub798 \uc5d0\ub108\uc9c0 \uc2dc\uc2a4\ud15c \uad6c\ud604", "1365": "empty", "1366": "\ub300\ud1b5\ub839", "1367": "\ud574\uc678\uad50\ud3ec \ubc0f \uc720\ud559\uc0dd \ub4f1 \ud574\uc678 \uac70\uc8fc\uc790", "1368": "\ucf54\uc5d1\uc2a4", "1369": "\uac15\uc6d0\ub300\ud559\uad50", "1370": "\uc639\ubcbd", "1371": "empty", "1372": "\ub355\uc218\uad81", "1373": "empty", "1374": "\ucc3d\uc758\uad50\uc721 \uac15\ud654", "1375": "empty", "1376": "\uc0b0\uc0ac\ud0dc/\uae09\uacbd\uc0ac\uc9c0\ubd95\uad34", "1377": "\ucc9c\ubb38\uae30\ucd08\uac15\uc5f0 \ubc0f \uacc4\uc808 \ubcc4\uc790\ub9ac \ud574\uc124", "1378": "105\uac1c \ub0b4\uc678", "1379": "\uc790\uc5f0\uc0ac\u2024\uacfc\ud559\uae30\uc220\uc0ac\u2024\uae30\ucd08\uacfc\ud559\u2024\uc0b0\uc5c5\uae30\uc220 \uc804\uc2dc", "1380": "\ubc34\ub4dc\uc0c1", "1381": "\uc804\ubb38\uac00 \uc721\uc131\uacfc\uc815", "1382": "SBS\uc544\ud2b8\ud14d", "1383": "\uc11c\uc6b8\ub300\ud559\uad50, \u321c\uc774\uc2a4\ud50c\ub7ec\uc2a4", "1384": "empty", "1385": "21\uc5b5 \uc720\ub85c", "1386": "\ud22c\uc790 \uc720\uce58 \ub2f4\ub2f9\uc790\uac00 \uba58\ud1a0\ub85c \ud65c\ub3d9", "1387": "\uc2a4\ub9ac\ub791\uce74", "1388": "empty", "1389": "empty", "1390": "empty", "1391": "\uc5d0\ub108\uc9c0 \uc548\ubcf4 \ucc28\uc6d0\uc758 \uc801\uc815 \ud574\uc678\uc218\uc785 \ube44\uc728", "1392": "\ucda9\ub0a8\ub300\ud559\uad50\ubcd1\uc6d0", "1393": "empty", "1394": "empty", "1395": "\uc9c0\ub9ac\uc0b0\uc758 \uc61b \uc774\uc57c\uae30\uc640 \ub3d9\uc2dd\ubb3c, \uc7ac\ud65c\uc6a9 \ub4f1\uc744 \ud65c\uc6a9\ud55c \uacf5\uc608\uccb4\ud5d8", "1396": "\uc804\ud658 \uc138\ubbf8\ud3ec\ub7fc \uac1c\ucd5c \ub4f1", "1397": "\uc640\ud0c4\ucc9c", "1398": "\uad6d\ub9bd\ud658\uacbd\uc778\ub825\uac1c\ubc1c\uc6d0", "1399": "\uc138\ucc99 \ud6c4 \uc0ac\uc6a9", "1400": "\ub300\ud559\uc0dd \ub610\ub294 \ub300\ud559\uc6d0\uc0dd", "1401": "1\ub144", "1402": "4.24", "1403": "empty", "1404": "empty", "1405": "\uc775\uc0b0\uccad", "1406": "\ud3fc\uc54c\ub370\ud558\uc774\ub4dc : 80\u338d/\u33a5\uc774\ud558", "1407": "10", "1408": "3\ub144", "1409": "\ub9cc\uc131\uae30\uad00\uc9c0\uc5fc(2\uc885), \ud3d0\uae30\uc885, \ucc9c\uc2dd(4\uc885)", "1410": "\u318d\uacf5\ub3d9\uc8fc\ud0dd\uad00\ub9ac\ubc95 \uc81c20\uc870", "1411": "\ucd5c\uadfc 2\ub144", "1412": "\ud2b9\ubcc4\uc9c0\uc6d0\uc0ac\uc5c5\ube44", "1413": "\ud658\uacbd\ubd80\uc7a5\uad00\uc0c1", "1414": "\ud3c9\ud0dd\uc2dc, \uc548\uc131\uc2dc", "1415": "empty", "1416": "empty", "1417": "\ubc30\ucd9c\uac00\uc2a4 5\ub4f1\uae09\ucc28\ub7c9 \uc6b4\ud589\uc81c\ud55c \uc2e4\uc2dc(\uc801\ubc1c 178\uac74)", "1418": "86\uba85", "1419": "empty", "1420": "\uc0dd\ubb3c\ub2e4\uc591\uc131\ubc95 \uc81c2\uc8706\ud638\uc7582", "1421": "\ubcbc \uc218\ud655 \ud6c4 \uc77c\ubd80 \ubccf\uc9da \uc874\uce58 \ub610\ub294 10~15cm \uc798\ub77c \ub17c\uc5d0 \ubfcc\ub824\uc90c", "1422": "\uc0b5", "1423": "\ud29c\ub2dd\uac80\uc0ac", "1424": "\uc800\uc7a5\uc2dc\uc124 \ud6c8\ub828\uc7a5", "1425": "100\uc5ec \uac1c\uad6d", "1426": "\uc0dd\ud0dc\uacc4\uc758 \uc5f0\uacb0 \ubc0f \ubcf4\uc804\u25aa\uc0c1\uc218\uc6d0 \uc6cc\ud130\uc250\ub4dc \uad00\ub9ac(\uc624\uc5fc\uc6d0 \uc804\uc218\uc870\uc0ac)", "1427": "\ud55c\uad6d\uac74\ucd95\ubb38\ud654\ub300\uc0c1", "1428": "empty", "1429": "\u2160\uadf8\ub8f9", "1430": "empty", "1431": "\u321c\ub300\uc6c5\uc9c0\uc9c8", "1432": "450\uae30", "1433": "\ucc38\ub2ec\ud33d\uc774", "1434": "\uad11\uacc4\uce21\uc7a5\ube44", "1435": "\uce5c\ud658\uacbd \uc2e4\ucc9c\ud589\ub3d9\uc73c\ub85c \ucc38\uc5ec(\uae30\uc5c5\uacfc \ud611\ub825)", "1436": "\ub610\ub974\ub974", "1437": "\uc8fc\ubbfc", "1438": "empty", "1439": "2020\ub144 11\uc6d4 12\uc77c(\ubaa9)", "1440": "\ubcf4\uc790\uae30", "1441": "\ubc1c\uc0dd \uac10\ucd95, \uc7ac\ud65c\uc6a9\ub960, \ub9e4\ub9bd\uc728, \uc608\uc0b0\uc790\ub9bd\uc728 \ub4f1", "1442": "\uc9c0\uc5ed \uc8fc\ub3c4\uc758 \uae30\ud6c4\u00b7\ud658\uacbd\ubb38\uc81c \ud574\uacb0", "1443": "empty", "1444": "80\uac1c\uc18c", "1445": "empty", "1446": "6,319\uc5b5\uc6d0", "1447": "empty", "1448": "empty", "1449": "\uc218\uc790\uc6d0\uacf5\uc0ac", "1450": "empty", "1451": "\uc8fc1\ud68c", "1452": "empty", "1453": "empty", "1454": "\uc218\ub3c4\ubc95 \uc81c14\uc870", "1455": "\ucd08\uace0\ub3c4\uc7a5\ud574", "1456": "\ucde8\uc57d\uacc4\uce35 \uc9c0\uc6d0\uc0ac\uc5c5 \ubb3c\ud488\uae30\ubd80", "1457": "\uc0bc\ud654\ud398\uc778\ud2b8\uacf5\uc5c5\u321c", "1458": "\uc1e0\ub625\uad6c\ub9ac\uc57c, \ud798\ub0b4", "1459": "empty", "1460": "empty", "1461": "\u25aa\uad6d\ud1a0\uc5f0\uad6c\uc6d0", "1462": "empty", "1463": "450\uc5b5\uc6d0", "1464": "\uc9c0\ub9ac\uc0b0", "1465": "\uc0ac\uc5c5\ubaa9\ud45c \ubc0f \ube44\uc804, \ucd94\uc9c4\uccb4\uacc4\uc758 \uc801\uc808\uc131", "1466": "Pseudemys concinna", "1467": "\ucd5c\uc18c 1.8m \uc774\uc0c1", "1468": "894\uba85", "1469": "\uc138\uc815\uc81c\ud488", "1470": "\ub3c4\ub85c\uacf5\uc0ac \ud45c\uc900 \uc2dc\ubc29\uc11c", "1471": "\ubb3c\uc808\uc57d\uc804\ubb38\uc5c5", "1472": "\uc0ac\uacc4\uc808, \ub0a8\ub140\ub178\uc18c \ubaa8\ub4e0 \uc758\ub958", "1473": "\uc8fc\uc758", "1474": "empty", "1475": "400\uae30\uad00", "1476": "empty", "1477": "\ud658\uacbd\ubd80", "1478": "\ub2f9\uc77c \uc18c\uac01\ucc98\ub9ac", "1479": "\uacfc\ud559\uae30\uc220\ub3c4\uc11c \uc9c4\uc5f4", "1480": "empty", "1481": "empty", "1482": "empty", "1483": "\uc218\uc740 \ud68c\uc218", "1484": "\ud48d\uc18d", "1485": "\uc815\uc218\uc2dc\uc124\uc6b4\uc601\uad00\ub9ac\uc0ac \uc790\uaca9\uc99d", "1486": "\ud655\uc7a5\ud615", "1487": "empty", "1488": "2\uae09", "1489": "\ube44\ub85c\ubd09", "1490": "\uc0dd\ud0dc\uad50\uc721", "1491": "\ub300\uc804\uad50\ud1b5\ubb38\ud654\uc5f0\uc218\uc6d0", "1492": "\uc544\uc774\ub514\uc5b4\ube0c\ub9bf\uc9c0\uc790\uc0b0\uc6b4\uc6a9", "1493": "160", "1494": "30%", "1495": "\uaca9\ub9ac\ud658\uc790\uc758 \uae30\uc800\uadc0\uc5d0 \ud55c\ud574 \uc758\ub8cc\ud3d0\uae30\ubb3c\ub85c \ubd84\ub958", "1496": "empty", "1497": "\uc5d0\ucf54 \ub514\uc26c", "1498": "\ud1a0\uc591 \ud658\uacbd\uc5d0 \ubbf8\uce58\ub294 \uc601\ud5a5", "1499": "\uc9c0\ub9ac\uc0b0 0.7km \ucc9c\uc740\uc0ac\uc0b0\ubb38\u223c\uc218\ud64d\ub8e8 \uad6c\uac04", "1500": "K-eco\ubbfc\uc6d0 \uc6b4\uc601 \uac1c\uc120\uc744 \ud1b5\ud55c \u2018\uace0\uac1d\uc11c\ube44\uc2a4 \ud488\uc9c8 \ud5a5\uc0c1\u2019", "1501": "2019-09-27", "1502": "\ud55c\uad6d\uc5c5\uc0ac\uc774\ud074\ub514\uc790\uc778\ud611\ud68c", "1503": "1\ud68c", "1504": "empty", "1505": "empty", "1506": "\uc2e0\ub098\ub294 VR \uccb4\ud5d8", "1507": "\ubb34\uc0b0\uc1e0\uc871\uc81c\ube44", "1508": "35\uac1c", "1509": "empty", "1510": "empty", "1511": "\uae00\ub7a8\ud551\uc7a5", "1512": "5\uac1c \ud56d\ubaa9", "1513": "empty", "1514": "\uae30\uc0c1\uccad", "1515": "\ud654\ud559\uc0ac\uace0 \ub300\uc751 \ubc0f \uc751\uae09\ucc98\uce58", "1516": "18\uac1c\ud56d\ubaa9", "1517": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "1518": "empty", "1519": "\uae00\ub85c\ubc8c \uc218\uc900\uc758 \uc778\uad8c\uacbd\uc601 \uc120\ub3c4", "1520": "empty", "1521": "\ubd80\uc11c\ubcc4 \uc724\ub9ac\uacbd\uc601 \ubc0f \uccad\ub834\u2027 \ubc18\ubd80\ud328 \uc5c5\ubb34 \ucd94\uc9c4", "1522": "(\uc8fc)\ud55c\ud654\uac74\uc124", "1523": "\uacfc\uae30\uc815\ud1b5\ubd80", "1524": "\uc804\uae30\uce68\ud22c\uc2dd \uc720\uae30\uc131\uc2ac\ub7ec\uc9c0 \ud0c8\uc218\uae30\uc220", "1525": "\ub85c\uc6b0\ud0f1\ud06c \uc591\ubcc0\uae30 \ubb3c 20% \uc774\uc0c1 \uc808\uc218\uae30\uc220", "1526": "K-water", "1527": "295", "1528": "\uc774\ub3d9\ud558\uba74\uc11c \uc2e4\uc2dc\uac04 \ubd84\uc11d", "1529": "\uc0dd\ubb3c \uadf8\ub9bc \ubbfc\ud654 \uac00\ubc29 \ub9cc\ub4e4\uae30", "1530": "\u321c\uc5d8\uc5d0\uc2a4\ud14c\ud06c\ub180\ub85c\uc9c0", "1531": "\ubcf4\uac74\uc704\uc0dd\uc2dc\uc124", "1532": "\uc624\ub300\uc0b0", "1533": "\ud56d\ub9cc", "1534": "\uc774\uc5d0\uc2a4\ud53c", "1535": "\ud559\uad50\ubcf4\uac74\ubc95", "1536": "\uc2dc\uad70\uc800\uc218\uc9c0, \ud558\ucc9c", "1537": "3 \uc791\ud488", "1538": "\ud1b5\uc2e0\uc7ac\ub09c \uad00\ub9ac\uc2e4\ud0dc \uc911\uc810 \uc810\uac80", "1539": "empty", "1540": "empty", "1541": "148", "1542": "100\ub9cc\uc6d0", "1543": "1959.1\uc6d4", "1544": "2017-05-06", "1545": "\uc6d0\uc2ec/\uc815\uc804\uc5ec\uacfc\uc9d1\uc9c4", "1546": "empty", "1547": "56\uc5b5\uc6d0", "1548": "\uc911\uc559\ubd80\ucc98, \uc804\ubb38\uac00, \uc2dc\ubbfc\ub2e8\uccb4", "1549": "\ub4dc\ub860", "1550": "\uad70\uc0ac\uae30\uc9c0\ubc95", "1551": "05\ubd84", "1552": "\ucd5c\ub300 770\ub9cc\uc6d0", "1553": "empty", "1554": "empty", "1555": "\uc0b0\uc5c5\uc548\uc804\ud300", "1556": "\ubd80\uc0b0\uc0ac\uc0c1\uc810", "1557": "empty", "1558": "\ud558\uc218\ub3c4\ubc95", "1559": "\uc790\ub3d9\ucc28\uad00\ub9ac\ubc95 \uc81c43\uc870", "1560": "\ub85c\uc584\uc815\uacf5", "1561": "2017.12\uc6d4 ~ 2018.10\uc6d4", "1562": "\uc0dd\ud0dc\uad00\uad11 \ucc38\uc5ec\uc778\uc6d0\uc218 \ubc0f \uc9c0\uc5ed\uc218\uc785 \ub4f1", "1563": "1.02~3.12% \uc774\ud558", "1564": "\ub300\uad6c\uad11\uc5ed\uc2dc", "1565": "\ubd80\uc0b0\ud56d \uac10\ub9cc\ubd80\ub450", "1566": "\ud3c9\ucc3d \ud3c9\ucc3d\uac15\uc77c\ub300", "1567": "\uc778\uc81c\uad70 \ub300\uc554\uc0b0 \uc6a9\ub2aa", "1568": "\uac00\ubb44, \ud64d\uc218", "1569": "5\uc77c", "1570": "\ud50c\ub79c\ud2b8 \uc2dc\ubbac\ub808\uc774\ud130 \ubc0f \uc790\ub3d9\uc81c\uc5b4 \uc2dc\uc2a4\ud15c\u25aa\uc815\uc218\uc7a5 \ud1b5\ud569 \uc9c0\ub2a5\ud615 \uc790\uc728\uc81c\uc5b4 \ud328\ud0a4\uc9c0 \uc2dc\uc2a4\ud15c", "1571": "\uc18c\ub3c5\uc81c, \ubc29\ucda9\uc81c, \ubc29\ubd80\uc81c, \uc0b4\uc870\uc81c", "1572": "\uc0b0\uc5c5\ubd80, \ud658\uacbd\ubd80", "1573": "\u321c\uc88b\uc740\uc544\uce68", "1574": "\ubaa8\ub4c8 \u2162\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0 \uad00\ub9ac", "1575": "empty", "1576": "\uc0dd\ud0dc\uad00\uad11 \ucc38\uc5ec\uc778\uc6d0\uc218 \ubc0f \uc9c0\uc5ed\uc218\uc785 \ub4f1", "1577": "VR\u318dAR\ub97c \ud65c\uc6a9\ud55c \uc2a4\ub9c8\ud2b8\uc2dc\ud2f0 \uac00\uc0c1\ub3c4\uc2dc", "1578": "\ub300\uae30\ud658\uacbd\ubcf4\uc804\ubc95 \uc81c62\uc870", "1579": "\ub300 \uc0c1", "1580": "\uac2f\uac8c, \ud770\ubc1c\ub18d\uac8c", "1581": "\ub4dc\ub860", "1582": "30\ub9cc\uc6d0", "1583": "\ub099\ub3d9\uac15\uccad", "1584": "\ubd80\uc0b0\ud56d \uac10\ub9cc\ubd80\ub450", "1585": "\ud658\uacbd\ubd80", "1586": "2017\ub144 6\uc6d4", "1587": "2\uba85 \uc774\uc0c1", "1588": "\uc77c\uc0c1\uc0dd\ud65c\uc5d0\uc11c \uc790\uc8fc \uc0ac\uc6a9\ub418\uace0 \uacbd\uc81c\u2027\uc0ac\ud68c \ud65c\ub3d9\uc5d0 \ub3c4\uc6c0\uc774 \ub418\ub294 \ub514\uc9c0\ud138 \uc775\ud788\uae30", "1589": "\uc0dd\ud0dc\ud574\uc124\uc0ac", "1590": "\uad11\uc0b0\uad6c \uc120\uc6b0\uc720\uce58\uc6d0", "1591": "empty", "1592": "\ud558\ucc9c\uc5d0 \uad00\ud55c \uc0ac\ubb34", "1593": "\uc778\uacf5\uc9c0\ub2a5 \uba38\ub514\ubd07\uc744 \uc774\uae34 \uc9f1\ub6b1\uc5b4\ub4e4", "1594": "67\uc810, 2,830\ub9cc\uc6d0", "1595": "\uc628\uc2e4\uac00\uc2a4", "1596": "\uc0dd\uc0b0\ud589\uc815\ucc98\ubd84", "1597": "empty", "1598": "\uac74\uad6d\ub300\ud559\uad50", "1599": "\ud15c\ud50c\uc2a4\ud14c\uc774", "1600": "\u321cSBS", "1601": "\uc18c\ub3c5\uc81c", "1602": "50\uacf3", "1603": "empty", "1604": "15% \uc774\ud558", "1605": "7\ud68c", "1606": "\uc6b0\ud3ec\ub2aa", "1607": "\ub2f9\uc77c(16\uc2dc\uac04) \ub098\uc068", "1608": "\ud658\uacbd\ubd80\uc7a5\uad00\uc0c1 \ubc0f \uc0c1\uae08 300\ub9cc\uc6d0", "1609": "420ppm", "1610": "\uc0b0\uc131\ube44", "1611": "\uba85\ub2f9", "1612": "1\ud68c \uce21\uc815\uac12\uc73c\ub85c \ud310\ub2e8", "1613": "\uc81c\uc8fc \ub300\uc815\ucd08\ub4f1\ud559\uad50", "1614": "134\uba85", "1615": "\uc778\uc81c\uad70\uc6b8\uc9c4\uad70", "1616": "\uc774\ub77d\uc0ac", "1617": "\uac1c\ucd5c\ub3c4\uc2dc \uac04 \uad11\uc5ed", "1618": "11.20", "1619": "\uc218\uc0dd\ud0dc\uacc4", "1620": "\uc655\ud53c\ucc9c\uacc4\uace1", "1621": "empty", "1622": "empty", "1623": "\uc81c\ud488\uc5d0\ud488\uc9c8\uba85\uc138\ub97c\ud45c\uc2dc", "1624": "\uc0dd\ud0dc\uc5f0\uad6c", "1625": "15\uac1c", "1626": "1,916\uac1c", "1627": "\uc2dd\ubb3c\ubcc0\ud654\ub97c \uc5f0\uad6c\ud558\ub294 \uc9c4\ud654\uc0dd\ubb3c\ud559\uc790", "1628": "empty", "1629": "\ucc3e\uc544\uac00\ub294\ubc15\uc0ac\ub2d8", "1630": "\uc0b4\uc0dd\ubb3c\ucc98\ub9ac\uc81c\ud488", "1631": "\ub2e4\ub85c\uc2a4(\uc8fc)", "1632": "\ub3c4\ub85c \uac74\uc124\ub85c \uc0b0\ub9bc \ud6fc\uc190", "1633": "empty", "1634": "\uc775\uc0b0\ubcd1\uc6d0", "1635": "\uacbd\uae30\uc0b0\uc7ac\uc694\uc591\ubcd1\uc6d0", "1636": "empty", "1637": "33", "1638": "\ucda9\uc8fc\uc758\ub8cc\uc6d0", "1639": "empty", "1640": "118\uac1c \uc9c0\uc790\uccb4", "1641": "\uc81c\uc8fc", "1642": "2014.07.01\u223c2017.06.30", "1643": "empty", "1644": "\ub3c4\uc2dc\uc790\uc5f0\uacf5\uc6d0\uad6c\uc5ed", "1645": "empty", "1646": "\uc0ac\uc804 \ub4f1\ub85d\uc790", "1647": "\ub369\uad74\uc131 \uc2dd\ubb3c", "1648": "empty", "1649": "empty", "1650": "empty", "1651": "DDT", "1652": "empty", "1653": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "1654": "empty", "1655": "empty", "1656": "\ubc95\uc8fc\uc0ac~\uc138\uc2ec\uc815", "1657": "\ud5a5\uc801\ubd09", "1658": "empty", "1659": "empty", "1660": "\uc2e4\ubb34\uacfc\uc815", "1661": "empty", "1662": "\uc6b0\uc218 \ub179\uc0c9\uc81c\ud488 \ud64d\ubcf4 \uc0c1\uc124 \uc804\uc2dc\uad00 \uc6b4\uc601", "1663": "\uc9c0\uc815\ucde8\uc18c", "1664": "2", "1665": "\uc0c1\uc131", "1666": "\u2160\uadf8\ub8f9", "1667": "160\uc5b5\uc6d0", "1668": "53\uac1c", "1669": "\uc8fc\ubcc0\uad6d\uacfc\uc758\ud658\uacbd \ud611\ub825", "1670": "\uc11c\uc6b8 \uc740\ud3c9\uad6c \ubd88\uad11\ub3d9", "1671": "0.01%", "1672": "empty", "1673": "8\u223c9\uc2dc\uac04", "1674": "empty", "1675": "empty", "1676": "\uc785\uc8fc\ubbfc \ub300\uc0c1\ub2e8\uc9c0 \uc5b4\ub9b0\uc774\uc9d1", "1677": "\ud638\ubc18\uc0c8", "1678": "\u25aa\uc218\uc740\uc758 \ub3c5\uc131", "1679": "25", "1680": "2\ub300", "1681": "3,000\uba85", "1682": "28\uc6d0", "1683": "\ubd81\uc544\uba54\ub9ac\uce74", "1684": "18\uc885", "1685": "195,590 \ud1a4", "1686": "empty", "1687": "55 \uba85", "1688": "85ppm \uc774\ud55850ppm \uc774\ud55850ppm \uc774\ud558", "1689": "GS\uac74\uc124\u321c\ubcbd\uc0b0\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1\u321c", "1690": "3.6\uc5b5\uc6d0", "1691": "0.4\uc5b5\uc6d0", "1692": "\ud669\ud568\ub7c9", "1693": "empty", "1694": "empty", "1695": "\ub300\uae30\uc624\uc5fc\ubb3c\uc9c8 \ucc98\ub9ac \uc120\uc9c4\ud654", "1696": "\ud55c\uad6d\uc218\uc790\uc6d0\uacf5\uc0ac", "1697": "10\u339e", "1698": "\uc5d0\ucf54\uc5d0\ub108\uc9c0(\uc8fc)", "1699": "60\uc5b5\uc6d0", "1700": "empty", "1701": "\uc885\ub7c9\uc81c \ubd09\ud22c", "1702": "\ub3c5\ubbf8\ub098\ub9ac", "1703": "\uad6d\ub9bd\uc0dd\ubb3c\uc790\uc6d0\uad00", "1704": "15% \uc774\ud558", "1705": "75\u338e/\u338f", "1706": "\uc6d0\ud615\ud480\uc7a5", "1707": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "1708": "50\uba85", "1709": "4\uc5b5\uc6d0", "1710": "51", "1711": "5000 \ubcf4\ub4dc\ud544\ud1b5", "1712": "\uc6b4\uc601\uacfc\ubaa9", "1713": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed\uc774\ub098 1\ucc9c\ub9cc\uc6d0 \uc774\ud558", "1714": "\ud568\uc720\uc2e4\ud0dc\uc870\uc0ac", "1715": "empty", "1716": "empty", "1717": "\ubd80\uc0b0\uc7a5\uc548\uace0\ub4f1\ud559\uad50", "1718": "empty", "1719": "empty", "1720": "\u25aa\ucc44\uc9d1\u00b7\ud655\ubcf4\ub41c \ub2f4\uc218\uc0dd\ubb3c\uc758 \uc0ac\uc721 \ubc0f \uad00\ub9ac\u25aa\uc5f0\uad6c\uc628\uc2e4", "1721": "\ub178\ud654 \ub4f1 7\uac1c", "1722": "\uac00\ucd95\ubd84\ub1e8", "1723": "\ud658\uacbd\ubd80", "1724": "\ub300\uad6c EXCO", "1725": "\u25aa\uc804\uae30\ucc28 \ubc0f \ud558\uc774\ube0c\ub9ac\ub4dc \ucc28\ub7c9 \uc9c0\uc6d0", "1726": "\ud55c\uad6d\ubc14\uc774\uc624\ud611\ud68c", "1727": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "1728": "\ub098\ub9c8\ud0c0\uc6c5 \ubcf4\ud638\uad6c\uc5ed \ubc0f \ud3ec\ud30c\uc0b0 \uad6d\ub9bd\uacf5\uc6d0 \uc0dd\ubb3c\ub2e4\uc591\uc131 \uc870\uc0ac\uc5f0\uad6c", "1729": "\ud589\uc0ac\uc7a5 \ub85c\ube44", "1730": "1,000\uac1c\uc18c", "1731": "\ud658\uacbd\ubd80", "1732": "4,000\uc6d0", "1733": "\uac15\uc6d0\ub3c4\uc640 \uacbd\uc0c1\ubd81\ub3c4 \uacbd\uacc4\uc758 \ub099\ub3d9\uac15 \ubcf8\ub958\uc9c0\uc810", "1734": "\ud0dc\uc548\ubc1c\uc804\ubcf8\ubd80 \uc815\uc81c\ud68c", "1735": "\ud3d0\uc11d\uc7a5, \uad11\ubbf8\uc7a5 \ubc0f \uc120\uad11\uc7a5 \ubd80\uc9c0, \ubc18\uacbd 2km \uc774\ub0b4 \ub18d\uacbd\uc9c0", "1736": "\uac15\ub989 \uc548\ubaa9\ud574\ubcc0 \uc544\uba54\ub9ac\uce74 \uce74\ud398 4\uce35", "1737": "empty", "1738": "\ubc18\ub3c4\uccb4 \uc81c\uc870\uc5c5", "1739": "\uc8fd\uc554\uac74\uc124\u321c", "1740": "(\uc8fc)\uc30d\uacf0", "1741": "empty", "1742": "2012.6.14", "1743": "\uad75\uc740\uc904\ub098\ube44", "1744": "8\uba85", "1745": "\uc124\uc545\uc0b0", "1746": "2008\ub144 10\uc6d4 18\uc77c", "1747": "empty", "1748": "empty", "1749": "empty", "1750": "300\ub9cc\uc6d0", "1751": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc1a1\ud30c\uad6c", "1752": "10% \uc774\ud558", "1753": "empty", "1754": "\ud658\uacbd\ubc95\uaddc \uc900\uc218 \ud604\ud669", "1755": "\uc0b0\ud558\uae30\uad00 \ubc0f \ub2e8\uccb4 \ucc44\uc6a9 \ub4f1 \uc778\uc0ac\uad00\ub9ac \uc2e4\ud0dc", "1756": "(\uc8fc)\uc2e0\uc544\uc5d0\uc774\uce58\uc5d0\uc2a4 \uc11d\uc0b0\uac1c\ubc1c\uc0ac\uc5c5", "1757": "973\uc885", "1758": "\ud5e8\ucf08\ud14c\ud06c\ub180\ub7ec\uc9c0\uc2a4", "1759": "\ud55c\uc804", "1760": "\ud658\uacbd\ubd80 \ubc0f \uad00\ub828 \uc0b0\ud558\uae30\uad00", "1761": "\ud3ec\ub7fc \uc6b4\uc601\uacb0\uacfc \uc815\ub9ac \ubc0f \uc870\ub958\uacbd\ubcf4\uc81c \uac1c\uc120\ubc29\uc548 \ud655\uc815", "1762": "\uc6b0\ub77c\ub284, \ub77c\ub3c8, \uc804\uc54c\ud30c", "1763": "\ud658\uacbd\ubd80, \ud658\uacbd\ubcf4\uc804\ud611\ud68c", "1764": "empty", "1765": "50%", "1766": "empty", "1767": "empty", "1768": "\uace0\uc6a9\uc5f0\uacc4\u00b7\uc5f0\uc218 \uc9c0\uc6d0", "1769": "SS, \ud074\ub85c\ub85c\ud544 \ub18d\ub3c4 \ucd94\uc815, \ubb3c\uc9c8\ubcc4 \ubd84\uad11\ud2b9\uc131 \uc2e4\ud5d8 \ubd84\uc11d", "1770": "\u321c\ud06c\ub9b0\uc6d0", "1771": "\ud398\uae30\ubb3c\uad00\ub9ac\ubc95", "1772": "34\uc5b5\uc6d0", "1773": "\uc0bc\uac00", "1774": "\uacf5\uc815\ubc30\ucd9c \ubc1c\uc0dd\uc6d0\ub8cc \uac80\uc99d\ubc29\ubc95", "1775": "\ubd80\ub300 \ud589\uc0ac", "1776": "\uc2b5\uc9c0\uc720\ud615\uc5d0 \ub530\ub978 \uc2dd\uc0dd\ud604\ud669 \uc870\uc0ac\ub97c \ud1b5\ud574 \uc2b5\uc9c0\uc2dd\uc0dd \ud3c9\uac00", "1777": "\uc7a5\uc2dc\uac04 \uc2e4\uc678 \ud65c\ub3d9 \uac00\uae09\uc801 \uc790\uc81c", "1778": "empty", "1779": "\ud55c\uad6d \ud658\uacbd\ubd80 \uc7a5\uad00", "1780": "250\uc5ec\uac1c", "1781": "\ubcc4\uc815\uc6b0\uccb4\uad6d\uc5f0\uae08\uad00\ub9ac\ub2e8", "1782": "2\uba85", "1783": "empty", "1784": "\uac01 300\ub9cc\uc6d0", "1785": "\uacf5\ub3d9\ud574\uc678\uc0ac\uc5c5 \ubc1c\uad74 \ucd94\uc9c4", "1786": "\uc2e4\uc2dc\uac04,\uc77c\uc77c", "1787": "\uac00\uc815 \ubc0f \uc2dd\ud488\ucde8\uae09 \uc7a5\uc18c", "1788": "\ub2f9\uc0ac\uad6d\ucd1d\ud68c", "1789": "\u318d\uc720\ud574\ud654\ud559\ubb3c\uc9c8 \ucde8\uae09\uae30\uc900", "1790": "\uc704\ud574\uad00\ub9ac\uacc4\ud68d \ub3c4\uc785 \ubc29\uc548", "1791": "\ub300\uae30\ud658\uacbd\ubcf4\uc804\ubc95 \uc81c44\uc870", "1792": "empty", "1793": "\uac31\ub0b4\uc218, \ud558\ucc9c\uc218, \uc9c0\ud558\uc218", "1794": "empty", "1795": "500\ub9cc\uc6d0", "1796": "empty", "1797": "\uc804\ub7b5\ud658\uacbd\ud3c9\uac00\uc81c\ub3c4 \ub3c4\uc785 \uc9c0\uc6d0\uc0ac\uc5c5", "1798": "\ub3c4\ub85c, \ub18d\ub85c, \uc8fc\ucc28\uc7a5, \uad11\uc7a5 \ub4f1\uc758 \uc544\uc2a4\ud314\ud2b8 \ucf58\ud06c\ub9ac\ud2b8 \ud3ec\uc7a5\uc6a9", "1799": "empty", "1800": "\uac31\uad6c\uae30\uc900 \ud558\ub958 4km \uc774\ub0b4", "1801": "100\ub9cc\uc6d0", "1802": "empty", "1803": "\uc9c0\ub3c4\uc810\uac80", "1804": "1.0", "1805": "\uc778\ucc9c\uad6d\uc81c\uacf5\ud56d\uacf5\uc0ac", "1806": "\ud504\ub791\uc2a4", "1807": "\uad70\uc704\ub310 \uac74\uc124\uc0ac\uc5c5", "1808": "\uce84\ubcf4\ub514\uc544", "1809": "\ud544\uae30\uc2dc\ud5d8", "1810": "1\uc6d4", "1811": "\uc790\ub3d9\ucc28 \uc641\uc2a4, \ube44\ud589\uae30\uc120\uccb4 \uc138\ucc99\uc81c, \uae08\uc18d \uae30\ub984\uae30\uc81c\uac70", "1812": "S-OIL", "1813": "empty", "1814": "4\ub2e8\uad6c\uc870 \uc774\uc0c1", "1815": "\uc6d0\ubaa9\uad50\uad6c\u00b7\uac00\uad6c, \uc6d0\ubaa9 \uc7a5\ub09c\uac10", "1816": "\uc6b0\uc218", "1817": "5\ub144 \uc774\ud558 \uc9d5\uc5ed \ub610\ub294 3\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "1818": "empty", "1819": "empty", "1820": "\ucc9c\uc5f0\ud398\uc778\ud2b8, \ud669\ud1a0\ubcf4\ub4dc", "1821": "\ub300\uae30", "1822": "\ud1a0\ub108 \uce74\ud2b8\ub9ac\uc9c0", "1823": "\uc81c600\ud638", "1824": "2014", "1825": "\uc911\ub9bd \uad6c\uac04", "1826": "1\uba85", "1827": "\uc9c0\uc9c8 \ud0c4\uc18c\uc800\uc7a5\uc758 \ud658\uacbd\uc801 \uad00\ub9ac\ub97c \uc704\ud55c \uacfc\ud559\uae30\uc220\uc801 \ubc29\ubc95", "1828": "3\ub144", "1829": "\uc5b4\ub9b0\uc774 \ud65c\ub3d9\uacf5\uac04 \uc870\uc131 \ubc0f \uc6b0\uc218\uc0ac\ub840 \uc804\ud30c, \uc5b4\ub9b0\uc774\uc6a9\ud488 \uad00\ub9ac\uc758 \uc2e4\ud6a8\uc131 \uc81c\uace0", "1830": "\uc81c1, 2-A\ud640", "1831": "\ub300\uc77c\uc774\uc564\uc528", "1832": "empty", "1833": "\uacfc\ud0dc\ub8cc", "1834": "empty", "1835": "6\uac1c", "1836": "\uc5d0\ucf54\ud3ec\uc778\ud2b8", "1837": "empty", "1838": "\uc0ac\uc5c5\ucd1d\uad04 \uac10\ucd95\uc2e4\uc801 \ubaa8\ub2c8\ud130\ub9c1 CDM \uc0ac\uc5c5 \uc218\ud589", "1839": "\uc885\uc774\ud638\uc77c", "1840": "\uc7ac\ud65c\uc6a9\uc5c5\uccb4", "1841": "EU, \ubbf8\uad6d, \uc911\uad6d, \uc77c\ubcf8", "1842": "\uacbd\uae30\ub3c4 \uc720\uc544\uccb4\ud5d8\uad50\uc721\uc6d0", "1843": "\ubb3c\uc5d0 \uc774\uc0c1\ud55c \uc0c9\uc774 \ub098\ud0c0\ub098\uc9c0 \uc544\ub2c8\ud560 \uac83", "1844": "\ub2f4\ub2f9 \uacf5\ubb34\uc6d0", "1845": "\uae30\ud6c4\ubcc0\ud654\uad00\ub828 \ud3c9\uac00", "1846": "1983", "1847": "\uacbd\ub0a8 \uac70\uc81c\uc2dc \ub0a8\ubd80\uba74 \uac08\uacf6\ub9ac", "1848": "\ud0dc\ud654\uac15,\uc5ec\ucc9c\ucc9c", "1849": "\ube44\uc988\ub2c8\uc2a4 \uc131\uacfc \ubd80\ubb38", "1850": "GS\uac74\uc124", "1851": "\uacbd\uace0", "1852": "300\ub9cc\uc6d0", "1853": "empty", "1854": "\uccad\ub465\uc624\ub9ac", "1855": "LH\uacf5\uc0ac", "1856": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "1857": "502\uac1c", "1858": "\ubd80\uac15\ud14c\ud06c", "1859": "\uc77c\ubcf8 \uc808\uba78\uc704\ud5d8\uc57c\uc0dd\uc0dd\ubb3c-\ub3d9\uc2dd\ubb3c", "1860": "397", "1861": "\ub300\uad6c \uc11c\ubd80\uacf5\uacf5\ud558\uc218\ucc98\ub9ac\uc7a5", "1862": "\uce21\uc815\uc7a5\ube44", "1863": "empty", "1864": "\ucc38\ub098\ubb34\uc18d", "1865": "\uc2e0\ud55c\uc740\ud589", "1866": "\uace0\ud765 \ubc0f \ud574\ub0a8 \uac04\ucc99\uc9c0\uc5d0\uc11c\uc758 \uc778\uacf5\uc2b5\uc9c0 \uc870\uc131\uc0ac\ub840", "1867": "empty", "1868": "empty", "1869": "\ub300\ud1b5\ub839\uc0c1", "1870": "\ud0dc\uc548\uad70 \ubabd\uc0b0\ud3ec \ud574\uc218\uc695\uc7a5", "1871": "2\ub144 \uc774\ud558 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "1872": "empty", "1873": "\uc5b4\ub958\uc12d\ucde8", "1874": "10 \uc774\ud558", "1875": "empty", "1876": "\uad6d\uc81c\ud658\uacbd\ud611\uc0c1", "1877": "90\ub144\ub300 \uc774\ud6c4\ub85c \ucd94\uc815", "1878": "\uae30\uc5c5\uc740\ud589", "1879": "\uc0bc\uc131\uc804\uae30 \uc218\uc6d0\uc0ac\uc5c5\uc7a5", "1880": "2011.5.26", "1881": "\ud2b8\uc704\ud130", "1882": "\ud55c\uad6d\ud3d0\uae30\ubb3c\ud611\ud68c", "1883": "empty", "1884": "\uc790\ubc1c\uc801\uc778 \uce5c\ud658\uacbd \ub3c4\uc2dc\uacc4\ud68d \uc218\ub9bd \uc720\ub3c4", "1885": "empty", "1886": "\ub450\uaebc\ube44\ud558\uc6b0\uc9d5", "1887": "\uae30\ucd08\uc0dd\ud65c\uc218\uae09\uc790 \uc99d\uba85\uc11c", "1888": "3\uac1c\uc0ac", "1889": "empty", "1890": "empty", "1891": "\uacbd\ub7c9\uc131", "1892": "\ucc99\ucd94\ub3d9\ubb3c", "1893": "\uad6d\uc81c\ud658\uacbd\ud611\uc57d", "1894": "empty", "1895": "\ub974\ub178\uc0bc\uc131\ucc28", "1896": "\uc911\uc559\ucde8\ud569\uac80\ud1a0", "1897": "35", "1898": "\ucd1d \ud65c\uc6a9 \uacf5\uc0ac \uac74\uc218, \ucd1d \uc0ac\uc6a9\ub7c9, \uc21c\ud658\uace8\uc7ac \ud65c\uc6a9\uc6a9\ub3c4", "1899": "18\ub144", "1900": "(\uc8fc)\ud6a8\uc131", "1901": "empty", "1902": "\ud63c\ud569\ubb3c\uc778 \uc720\ub3c5\ubb3c\uc758 \ubd84\ub958\u318d\ud45c\uc2dc \uacf5\uac1c", "1903": "\uad6d\ub9bd\uacf5\uc6d0", "1904": "\uc0c1\uc218", "1905": "\ub179\uc0c9\uad50\ud1b5 \uc6b0\uc218\uc0ac\uc5c5\uc7a5 \uc120\uc815", "1906": "\uc77c\ubcf8", "1907": "\ub2e4\ub978 \ubd80\uc11c\uc640 \uad6c\ubd84\ub41c \ub3c5\ub9bd\ub41c \uacf5\uac04 \ud655\ubcf4", "1908": "empty", "1909": "1\ud68c", "1910": "\ubc18\ub2ec\uac00\uc2b4\uacf0", "1911": "\ub18d\uc2dd\ud488\ubd80", "1912": "\uc0dd\ud0dc\ud558\ucc9c \ubcf5\uc6d0\uc0ac\uc5c5", "1913": "\ud658\uacbd\ubd80", "1914": "\ud669\ud568\ub7c9", "1915": "empty", "1916": "empty", "1917": "\uc5f0\ub8cc\uc18c\ube44\uc728\uc744 \uace0\ub824\ud55c \ucd5c\uc801 \ubcc0\uc18d\uc2dc\uc810 \ud45c\uc2dc", "1918": "137\uba85", "1919": "\uc57d 90\uc5b5\uc6d0", "1920": "empty", "1921": "\uad00\uc18d\uc2dd\ubb3c \ubd84\ub958\uc758 \uc774\ud574 \ubc0f \uc2e4\uc2b5", "1922": "320\uc2dc\uac04", "1923": "\uadf8\ub987\ub41c \ubcf4\uc2e0\ubb38\ud654", "1924": "empty", "1925": "empty", "1926": "\uc544\ud669\uc0b0\uac00\uc2a4 \uc624\uc5fc \uc800\uac10", "1927": "30%", "1928": "\ubb38\uc218\uc0b0", "1929": "91\uac1c", "1930": "\uc704\uacc4\ubcc4", "1931": "5", "1932": "empty", "1933": "\uc9c0\uc790\uccb4", "1934": "empty", "1935": "\uc870\uad11\ud398\uc778\ud2b8(\uc8fc) \uc74c\uc131\uacf5\uc7a5", "1936": "\uc11d\uc720\ud654\ud559\uc81c\uc870", "1937": "\uae30\uc900 \ucd08\uacfc \uac00\ub2a5\uc131\uc774\ub192\uc740 \ucc28\uc885", "1938": "\uc0ac\ube48", "1939": "\ud3d0\uae30\ubb3c \ub610\ub294 \ud3d0\uc218", "1940": "1\uac1c\uccb4", "1941": "\uacbd\ubd81", "1942": "2000\ub144 East Anglia \ub300\ud559", "1943": "\uc9c0\ub9ac\uc0b0", "1944": "empty", "1945": "\uc12c\uc9c4\uac15\uc218\ub2ec\uc11c\uc2dd\uc9c0", "1946": "\ud558\uc218\uc624\ub2c8", "1947": "\uc591\ud3c9", "1948": "\uc911\uc18c\uae30\uc5c5 \ub4f1 \ubaa8\ub4e0 \uc0ac\uc5c5\uc7a5", "1949": "\uc13c\ud130\uc7a5", "1950": "\ub300\uae30\ud658\uacbd\uce21\uc815\ubd84\uc11d \ubd84\uc57c", "1951": "3\ud68c", "1952": "11\uac1c", "1953": "\ubbf8\uc0dd\ubb3c", "1954": "2008.12.6", "1955": "\ud55c\uad6d\uc0dd\uba85\uacf5\ud559\uc5f0\uad6c\uc6d0", "1956": "\uae30\uc218\ub828 \ubc0f \uc0dd\ud0dc\ud574\uc124 \uccb4\ud5d8", "1957": "\uba54\ud0c4(\ucc9c\uc5f0\uac00\uc2a4) \ud504\ub85c\ud310", "1958": "DMZ \uc0dd\ud0dc\uc790\uc6d0\uc758 \uccb4\uacc4\uc801 \ubcf4\uc804 \uc5f0\uad6c - \uc790\uc5f0\uc758 \uc0dd\uba85\ub825\uc744 \uad00\ucc30\ud558\uace0 \uccb4\ud5d8\ud558\ub294 \uad50\uc721\uc7a5 \uc870\uc131", "1959": "1\uac1c\uc6d4", "1960": "89\uc138\ub300214\uba85", "1961": "\ub2e8\uc704 \ucc98\ub9ac\uc7a5", "1962": "30\uba85", "1963": "empty", "1964": "empty", "1965": "MSD", "1966": "40\uba85", "1967": "\uc81c7\uc870\uc5d0 \ub530\ub77c \ud488\uc9c8\uacbd\uc601\uccb4\uc81c\uc778\uc99d\uc744 \ubc1b\uc740 \uae30\uc5c5\uc5d0\uc11c \uc0dd\uc0b0\ud55c \uac83", "1968": "55 \uc774\ud558", "1969": "1\uae30\uad00", "1970": "\uacbd\uae30\uc218\uc6d0", "1971": "\ud3ec\uc720\ub958", "1972": "40\uba85", "1973": "\uc6d4\u318d\uc218\u318d\uae08", "1974": "\uac08\uc870\ub958 \ub2e4\uc2dc\ub9c8\uc758 \uacc4\ud1b5\uc9c0\ub9ac \uc5f0\uad6c", "1975": "\uae30\uc544\uc790\ub3d9\ucc28(\uc8fc)", "1976": "30\uba85", "1977": "2000\ub144", "1978": "empty", "1979": "\uc54c\uae30 \uc26c\uc6b4 \ud654\ud559\ubb3c\uc9c8 \uc774\uc57c\uae30", "1980": "\uc5f0\ub839\uc81c\ud55c \uc5c6\uc74c", "1981": "5\ub4f1\uae09\ud3c9\uac00", "1982": "\ud55c\uac15\uc720\uc5ed\ud658\uacbd\uccad \ud658\uacbd\uad00\ub9ac\uacfc", "1983": "empty", "1984": "\uc5f0\ub839\uc81c\ud55c \uc5c6\uc74c", "1985": "\ub0a8\ucc9c\uacc4\uace1 \uc57c\uc601\uc7a5", "1986": "\uc544\uae4c\uc2dc\ub098\ubb34 \ub4f1 \uc678\ubd80\uc2dd\ubb3c \ud655\uc0b0\ubc29\uc9c0,\uacbd\uc791, \ud589\ub77d \uad00\ub9ac", "1987": "\uc2b5\uc9c0\uc640 \ubb38\ud654\u2160", "1988": "empty", "1989": "\uc990\uac70\uc6c0\uc744 \uac00\uafb8\ub294 \uc0ac\ub78c\ub4e4", "1990": "\uacf5\uacf5\ud558\uc218\ucc98\ub9ac\uc2dc\uc124 \uacac\ud559", "1991": "\uc624\uc544\uc2dc\uc2a4", "1992": "\ud589\uc815\ucc98\ubd84 \ubc0f \uc0ac\ud6c4\uad00\ub9ac \ub4f1", "1993": "\uae30\ud6c4\ubcc0\ud654\uc640 \uc9c0\ubc18\uc6b4\ub3d9\uc73c\ub85c \ud615\uc131\ub18d\uacbd\uc9c0, \uc8fc\uac70\uc9c0, \uad50\ud1b5\ub85c\ub85c \uc774\uc6a9", "1994": "353\uac1c\uc18c", "1995": "5\ud68c\ucc28 6. 4(\uc218)", "1996": "2\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "1997": "\ud55c\ub77c\uc0b0\uc5c5\uac1c\ubc1c", "1998": "\ube44\uc18c", "1999": "300\ub9cc \uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "2000": "\ud3d0\uacb0\ud575 \ubc0f \uae30\ud0c0\ud749\ubd80\uc9c8\ud658", "2001": "40\uba85", "2002": "2008. 6.30", "2003": "\uacbd\ucc30\uccad", "2004": "\uc601\uad6d, \ub124\ub35c\ub780\ub4dc", "2005": "\ub2f4\ubc30\uaf41\ucd08, \ud734\uc9c0 \ub4f1\uc744 \ubcc4\ub3c4\uae30\uad6c \uc5c6\uc774 \ubc84\ub9ac\ub294 \ud589\uc704", "2006": "B\ub4f1\uae09", "2007": "\ud658\uacbd\uc601\ud5a5\ud3c9\uac00\ubc95", "2008": "\uc9c0\uc5ed\ubb38\ud654 \ubc0f \ud574\uc591\u00b7\uc12c\ub9c8\uc744 \uc0dd\ud0dc\ud574\uc124 \uccb4\ud5d8", "2009": "\ud558\ucc9c\uc218", "2010": "2006\ub144 \uc785\uc8fc\ud55c\uacf5\ub3d9\uc8fc\ud0dd \uc785\uc8fc\ubbfc", "2011": "\uc810\uc790\ub8cc, \uc885 \uc911\uc2ec\uc790\ub8cc(\uc804\uad6d\uc790\uc5f0\ud658\uacbd\uc870\uc0ac) \uae30\ubc18", "2012": "40\uba85", "2013": "empty", "2014": "empty", "2015": "\uc81c\uc8fc", "2016": "30\uba85", "2017": "1,000\uc6d0", "2018": "empty", "2019": "empty", "2020": "1\u339e", "2021": "\ud658\uacbd\uc131\uacfc \uc81c\uace0", "2022": "STOP CO2", "2023": "empty", "2024": "20\uba85", "2025": "\ub18d\ub9bc\ubd80", "2026": "\ubc84\ub4e4\uce58", "2027": "empty", "2028": "3\uc810", "2029": "\uae30\ud0c0\uc0ac\ud56d", "2030": "40,000\uc6d0", "2031": "4", "2032": "70\ud1a4", "2033": "20\uba85", "2034": "\ud45c\uc900\ubd84\uc11d\ubc29\ubc95 \uc138\ud3ec\ubc30\uc591\ubc95", "2035": "30\uba85", "2036": "\uc2e0\uace0 \uc774\ud589\uc5ec\ubd80 \ubc0f \uc2dc\uc124\uc758 \uc2e0\u2024\uc99d\uc124\uc5ec\ubd80", "2037": "empty", "2038": "30\ubd84", "2039": "\ud55c\uad6d\ud1a0\uc9c0\uacf5\uc0ac", "2040": "\uc2b5\uc9c0\uc0dd\ud0dc\ud574\uc124, \uccb4\ud5d8", "2041": "2\uc885", "2042": "empty", "2043": "100\ub9cc\uc6d0 \uc774\ud558\uc758 \uacfc\ud0dc\ub8cc", "2044": "REACH \uc81c\ub3c4", "2045": "128\uac1c \uae30\uc5c5", "2046": "\uac74\uc124\uad50\ud1b5\ubd80", "2047": "2003.12.10 \uaddc\uce59", "2048": "\ubaa9\uc81c\ud488", "2049": "3\ub300", "2050": "\ub300\uae30\ubd84\uc57c \ud658\uacbd\uc624\uc5fc\uacf5\uc815\uc2dc\ud5d8\uae30\uc900, \uc815\ub3c4\uad00\ub9ac", "2051": "empty", "2052": "\ub300\uc0c1", "2053": "\ub178\uc120\ubc84\uc2a4 \ub0b4 \uc911\uc559\uc810\ub192\uc774 1m \uc774\uc0c1", "2054": "30 \ucd08\uacfc", "2055": "\uc678\uad50\ubd80", "2056": "empty", "2057": "empty", "2058": "empty", "2059": "\u25e6\ubb34\ub8cc", "2060": "UKCIP\ub294 \uc9c0\ubc29\uc815\ubd80\uc640 \uae30\uc5c5\uacfc \ud568\uaed8 \uad50\uc721 \uc6cc\ud06c\uc0f5\uc744 \uac1c\ucd5c\ud568", "2061": "\ud55c\uad6d\uae30\uacc4\uc5f0\uad6c\uc6d0 \ubcf8\uad00 1\uce35 \ub85c\ube44", "2062": "\uc601\uad6d", "2063": "\uc774\ucc9c\uc2dc", "2064": "44\uac1c\uc18c", "2065": "\uc0c1\uc218\uc6d0\uad00\ub9ac\uc9c0\uc5ed\ub0b4 \uc4f0\ub808\uae30 \uc218\uac70\ub7c9", "2066": "\ud53c\uc11c\uc758 \ub05d, \uc4f0\ub808\uae30 \ucc9c\uc9c0", "2067": "\uace0\ub824\uac1c\ubc1c", "2068": "\ud658\uacbd\uc131\uacfc \uc81c\uace0", "2069": "4\ub144", "2070": "empty", "2071": "\ub300\ud3ec\ub3d9 \uc4f0\ub808\uae30\ub9e4\ub9bd\uc7a5", "2072": "empty", "2073": "90\uc77c", "2074": "4", "2075": "\uac1c\ub2f9 1.2\uc6d0", "2076": "\ucda9\ub0a8\ub300", "2077": "- 1\ud68c/\ub144\uc774\uc0c1 \uc9c1\uc811 \uac80\uc0ac \uc7ac\uc9c8 \ubc0f \uc131\ub2a5\uac80\uc0ac", "2078": "\ubc84\uc2a4", "2079": "\uc911\uc559\ud658\uacbd\ubd84\uc7c1\uc870\uc815\uc704\uc6d0\ud68c", "2080": "\ud558\uc218\ucc98\ub9ac\uc7a5 \uac1c\ub7c9 \ubc0f \uac1c\uc120 \ubc29\uc548 \uc5f0\uad6c", "2081": "\uccad\ud48d \ubb34\uad6c 3012\uc2dc\ub9ac\uc988", "2082": "\uba39\uc774\ub97c \ucc3e\uc544 \uc774\ub3d9", "2083": "\uad34\uc11d, \ud48d\ub780", "2084": "\ub0a9", "2085": "\uc218\uc2dc", "2086": "empty", "2087": "\ud658\uacbd\ubd80\uc7a5\uad00\uc0c1 \ubc0f \ubd80\uc0c1 300\ub9cc\uc6d0", "2088": "\ud638\ub791\uc774, \ud45c\ubc94 \uc218\ub9ac\ubd80\uc5c9\uc774", "2089": "1945.9.2", "2090": "empty", "2091": "1\ucc28", "2092": "121\uc5b5", "2093": "207\uac1c \uc9c0\uc810", "2094": "empty", "2095": "\uc804\ub7b5\ud658\uacbd\ud3c9\uac00\uc81c\ub3c4", "2096": "500 \uc774\uc0c1", "2097": "\ub2ec\uc131\uad70 \uc81c\uc678", "2098": "\ud568\uc815", "2099": "\ud398\ub180\uc218\uc9c0 \ub4f1", "2100": "3.2\ud1a4", "2101": "\ud658\uacbd\ub2f4\ub2f9\uacfc\uc7a5", "2102": "\ud3d0\uc720\uae30\uc6a9\uc81c\uc911 \uc77c\ubd80 \uc6d0\ub8cc \ud68c\uc218\ud558\uace0 \uc0dd\ubb3c\ud559\uc801 \ucc98\ub9ac", "2103": "\uc644\uc804\uc5f0\uc18c\uac00\ub2a5\ub7c9", "2104": "44\u2103", "2105": "2006\ub144 7\uc6d4", "2106": "\uad6d\ub9bd\ud658\uacbd\uc5f0\uad6c\uc6d0", "2107": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "2108": "\uae30\uc220\uae30\ud68d\ud300\uc7a5", "2109": "2015", "2110": "\ud3d0\uc218\ucc98\ub9ac\uc758 \uae30\uc220\uc801 \uac00\ub2a5\uc131", "2111": "empty", "2112": "\uc13c\uc2a4\uba5c MT3370", "2113": "\ud669\uc0ac\uc2dc \uc2dc\uc815 \ub370\uc774\ud130\ub97c \uc8fc\ub85c \uc218\uc9d1\ud558\ub294 \uc9c0\uc810(\uae30\ucd08\uc790\ub8cc)", "2114": "30\uc5ec\uac1c", "2115": "82%", "2116": "\uc88c\ub3d9o", "2117": "empty", "2118": "empty", "2119": "\u2467", "2120": "\uc815\ubd80\uacfc\ucc9c\uccad\uc0ac \uc548\ub0b4\ub3d9 \uc9c0\ud5581\uce35 \uc5b4\ud559\uc2e4", "2121": "\uc678\uad50 \ud1b5\uc0c1\ubd80", "2122": "\uad6d\uc815\ud64d\ubcf4\ucc98\ud589\uc815\uc790\uce58\ubd80\ubcf4\uac74\ubcf5\uc9c0\ubd80\ud658\uacbd\ubd80", "2123": "empty", "2124": "empty", "2125": "\ub86f\ub370\ud638\ud154", "2126": "\uacfc\ucc9c \ubb38\uc6d0\uc911\ud559\uad50", "2127": "\ucc3d\ubb38", "2128": "empty", "2129": "\uc720\ud574\ubb3c\uc9c8\uc744 \ud568\uc720\ud55c \uc81c\ud488\uc744 \uccad\uc18c\ub144\uc720\ud574\ubb3c\uac74 \ub4f1\uc73c\ub85c \uc9c0\uc815", "2130": "\ub300\uc804\uc5d1\uc2a4\ud3ec\uad6d\uc81c\ud68c\uc758\uc7a5", "2131": "\ud658\uacbd\ubd80", "2132": "empty", "2133": "43\uba85", "2134": "25%\uc774\ud558", "2135": "EU\uc758 \ud658\uacbd \uad00\ub828 \ubb34\uc5ed\uaddc\uc81c\uc5d0 \ub300\ud55c \ud55c\uad6d \uc0b0\uc5c5\uacc4\uc758 \uacbd\uc7c1\ub825 \uac15\ud654\ubc29\uc548", "2136": "\ub300\ud615\uac00\uc804\uc81c\ud488 \ubc0f \uc790\ub3d9\ud310\ub9e4\uae30", "2137": "\ud638\ub0a8\ud654\ub825", "2138": "\ud574\uc678 \ud30c\uacac \ud658\uacbd\ubd80 \uc8fc\uc7ac\uad00", "2139": "empty", "2140": "empty", "2141": "\ud3d0\ucc28\ucc98\ub9ac\uc9c0\uce68", "2142": "empty", "2143": "empty", "2144": "140\uc6d0/\ud1a4", "2145": "40\u2113/min", "2146": "\uc6b8\uc0b0\uad11\uc5ed\uc2dc, \uacbd\uae30\ub3c4, \ucda9\uccad\ub0a8\ub3c4, \uc804\ub77c\ubd81\ub3c4", "2147": "\ud55c\uad6d\uc0b0\uc5c5\uc778\ub825\uad00\ub9ac\uacf5\ub2e8", "2148": "\ucca8\uac00\ud55c\ub3c4", "2149": "\ubbfc\uac04\uc778", "2150": "empty", "2151": "\ubcf4\uc804\uc744 \uc6d0\uce59\uc73c\ub85c \ud558\uace0, \uc774\ubbf8 \ud6fc\uc190\ub41c \uc9c0\uc5ed\uc740 \ubcf5\uc6d0", "2152": "\uad6d\ub9bd\uacf5\uc6d0", "2153": "\uc911\ub300\uacbd\ubcf4", "2154": "\uc9c0\uc815 \ud3d0\uae30\ubb3c \uc218\uc9d1 \uc6b4\ubc18\uc5c5", "2155": "\uc804\uacfc\uc815 \ud750\ub984\ub3c4", "2156": "\uacbd\uace0", "2157": "\ud558\ucc9c \uc218\uc9c8\uac1c\uc120 \ubc0f \ud558\ucc9c \uc0dd\ud0dc\uacc4 \ubcf5\uc6d0", "2158": "\ub18d\ub3c4\uaddc\uc81c", "2159": "empty", "2160": "\ub300\uac80\ucc30\uccad", "2161": "1967\ub144", "2162": "6\uff5e28\uc5b5", "2163": "17\uac1c", "2164": "empty", "2165": "\uc77c\ubc18\ud658\uacbd\ubc95", "2166": "\uc218\ub9bc\ub300", "2167": "\ucc14\ub808", "2168": "\ub2ec\ubc29\ub310", "2169": "\uac15\uc6d0\ub300\ud559\uad50", "2170": "empty", "2171": "\uc81c\uc8fc", "2172": "\uc7a5\uc131\uad70", "2173": "\uce68\ud22c\uc870, \uce68\ud22c\ub3c4\ub791, \uc720\uacf5\ud3ec\uc7a5", "2174": "2004. 7\uc6d4\ubd80\ud130", "2175": "\ud0dc\ubc31 \ud0dc\ubc31\uc0b0", "2176": "96\ub144", "2177": "\uc9c0\ud558\ucca0\uc5ed\uc0ac 6\uac1c\uc18c", "2178": "\ubc14\uc774\uc624\uc138\uc778\ud2b8(\uc8fc)", "2179": "\ub2ec\ube5b\ud0a4\ud2b8(DIY\uce74\ub4dc\ubcf4\ub4dc+DIY\ubbf8\ub2c8 \uccad\uc0ac\ucd08\ub871)\ub9cc\ub4e4\uae30", "2180": "\uad6d\uc720", "2181": "\uac04\ub2f4\ud68c", "2182": "\uccad\uac04\uc815", "2183": "empty", "2184": "\uad6c\ub840 \ud654\uc5c4\uc0ac \ubaa9\uc870\ube44\ub85c\uc790\ub098\uc0bc\uc2e0\ubd88\uc88c\uc0c1", "2185": "\uc6b8\uc9c4 \ubd88\uc601\uc0ac \ubd88\uc5f0", "2186": "\uad6d\ub9bd\uacbd\uc8fc\ubc15\ubb3c\uad00", "2187": "empty", "2188": "\uadfc\ub300 \uac74\ucd95\ubb3c \uad6d\uac00\ub4f1\ub85d\ubb38\ud654\uc7ac", "2189": "1\ubd80", "2190": "\uc0c1\uc7a5 \ubc0f 300\ub9cc\uc6d0", "2191": "empty", "2192": "\ud48d\uc548\uc9c0\uc545", "2193": "\uc804\uae30\ub9cc\ub178\uc0c1 \uc870\uac01\ud558\ub294 \uac8c \ub09c \uc88b\uc73c\ub2c8\uae4c", "2194": "\uc784\uae08\ub2d8 \uc54c\uace0 \uc2f6\uc5b4\uc694", "2195": "empty", "2196": "\uc81c306-2\ud638", "2197": "\uc625\ucc9c \ud5a5\ud1a0\uc790\ub8cc\uc804\uc2dc\uad00", "2198": "SK(\uc8fc)", "2199": "empty", "2200": "\ubcc4\ubcc4\ud55c\uad6d\uc0ac\uc5f0\uad6c\uc18c", "2201": "\ubbf8\ub798\ub97c \uc704\ud55c \uad6d\uac00\uc720\uc0b0\uc790\ubb38\uc704\uc6d0\ud68c \uc704\uc6d0-\ubb38\ud654\uc7ac\uccad \uc790\uccb4\ud3c9\uac00\uc704\uc6d0\ud68c \uc704\uc6d0", "2202": "\ubc1c\uad74\ud5c8\uac00\uc758 \ubcc0\uacbd\uc774 \uc774\ub8e8\uc5b4\uc9c0\ub294 \uc911\uc694\ud55c \uc0ac\ud56d\uc744 \uaddc\uc815", "2203": "\ub3d9\uad6c\ub989", "2204": "empty", "2205": "\ucd08\uace0", "2206": "\ucca0\uc81c\uc740\uc785\uc0ac \ucd1b\ub300, \uc720\uc81c\ub4f1\uacbd", "2207": "\uc7a5\ud559\uc99d\uc11c \uc218\uc5ec", "2208": "\uad6d\uac00\ubb34\ud615\ubb38\ud654\uc7ac\uc804\uc218\uad50\uc721\uad00 404\ud638", "2209": "empty", "2210": "\ucc38\ub098\ubb34, \ub2e8\ud48d\ub098\ubb34", "2211": "empty", "2212": "empty", "2213": "20", "2214": "empty", "2215": "1951\ub144", "2216": "1928\ub144", "2217": "\uace0\ub3c4\ubcf4\uc874\uc721\uc131\uacfc", "2218": "empty", "2219": "\ud55c\uad6d\uc758\uc11c\uc6d0", "2220": "\ub300\uad6c \ub3d9\ud654\uc0ac \uc0bc\uc7a5\ubcf4\uc0b4\ub3c4", "2221": "14:01\uff5e14:15", "2222": "\uc218\uc6d0 \ud314\ub2ec\ubb38", "2223": "empty", "2224": "\ucd08\u00b7\uc911\ud559\uc0dd \ub610\ub294 \ub3d9\ub4f1 \uc5f0\ub839\ub300 \uccad\uc18c\ub144", "2225": "empty", "2226": "2020.2.19.(\uc218)", "2227": "\ud55c\uc0b0\ubaa8\uc2dc\uc9dc\uae30", "2228": "empty", "2229": "\uc559\ubd80\uc77c\uad6c", "2230": "empty", "2231": "10. 20(\uc77c)", "2232": "\uc11c\uc9c4\ubb38\ud654\uc720\uc0b0\u321c", "2233": "1995.12.9.", "2234": "\ub300\uc804\uad11\uc5ed\uc2dc \uc911\uad6c", "2235": "empty", "2236": "empty", "2237": "\uc138\ub124\uac08", "2238": "\uc804\uc8fc\ubb38\ud654\uc7ac\ub2e8", "2239": "10", "2240": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2241": "\uc0bc\uc131\ubb38\ud654\uc7ac\ub2e8", "2242": "\uae40\ud574 \ub300\uc131\ub3d9 2\ud638\ubd84", "2243": "\ub300\ud55c\ubd88\uad50\uc870\uacc4\uc885 \uc30d\uacc4\uc0ac", "2244": "\ud658\uacbd\ubd80\ub300\ud55c\uc0c1\ud558\uc218\ub3c4\ud559\ud68c", "2245": "empty", "2246": "2003\ub144", "2247": "\ud48d\uc548\uc9c0\uc545", "2248": "\uae08\uac15\ubc18\uc57c\ubc14\ub77c\ubc00\uacbd \ubc0f \uc81c\uacbd", "2249": "empty", "2250": "KTX", "2251": "\ubc95\u2019\uc73c\ub85c \uc0c1\ud5a5 (\ub839 \uc81c14\uc870", "2252": "\ucc3d\ubb38", "2253": "\ub300\ud55c\ubd88\uad50\uc870\uacc4\uc885\ub300\uc2b9\uc0ac", "2254": "empty", "2255": "\ubb34\ub8cc", "2256": "\ud55c\ud615\uc8fc", "2257": "\uc9c4\uad00\uc0ac \ud0dc\uadf9\uae30", "2258": "\uae30\uc874 \uac74\ucd95\ubb3c\u00b7\uc870\ud615\ubb3c \ubcf4\uc218\ud589\uc704", "2259": "\ucd08\ub4f1\ubd80", "2260": "\ubb34\ud615\ubb38\ud654\uc7ac\ub180\uc774\ub9c8\ub2f9", "2261": "\ubb34\ud615\ubb38\ud654\uc7ac \ubcf4\uc804 \ubc0f \uc9c4\ud765\uc5d0 \uad00\ud55c \ubc95\ub960", "2262": "empty", "2263": "15", "2264": "\uc81c5\uc870\uc7583", "2265": "\uad81\uad90 \uc601\uac74\uc758 \uc5ed\uc0ac\uc640 \uacf5\uac04 \uad6c\uc870 - \uac1c\ub860", "2266": "\uc0ac\ubbf8\ucc28", "2267": "\uae30\ub150\ud488 \uc99d\uc815", "2268": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2269": "\uacc4\uba85\ub300\ud559\uad50", "2270": "empty", "2271": "\uc885\ubaa9\ubcc43\uba85 \ub0b4\uc678", "2272": "\uae30\ucd08\uacfc\uc815", "2273": "\ucd5c\uadfc\ub144\ub3c4 \ub9d0 \uae30\uc900 \uc7ac\ubb34\uc81c\ud45c", "2274": "empty", "2275": "\uc804\ud1b5\ubb38\ud654\uad50\uc721\uc6d0", "2276": "\ub300\uc628\uc2e4 \ub0b4\ubd80", "2277": "2015.2.12.(\ubaa9)", "2278": "\uacbd\uacc4\ub97c \ub118\uc5b4\uc11c", "2279": "\uc704\uc6d0\ud68c \uc2ec\uc758 \uacfc\uc815\uc5d0 \uc758\uacac\uc9c4\uc220 \uae30\ud68c \ubd80\uc5ec", "2280": "78\uba85", "2281": "empty", "2282": "\ubb34\ub8cc", "2283": "empty", "2284": "\uc5ed\uc0ac\ubb38\ud654\uccb4\ud5d8", "2285": "\uc0c8 \ubaa8\uc774 \uc8fc\uae30", "2286": "\uc911\u2024\uace0\ub4f1\ud559\uc0dd", "2287": "empty", "2288": "\uc655\uc2e4\ud0dc\uad50", "2289": "empty", "2290": "empty", "2291": "empty", "2292": "\uc6cc\ud06c\uc0fe", "2293": "\ud574\uc800\uba74 6\uc871 \ubcf4\ud589", "2294": "\uad6d\ub9bd\uace0\uad81\ubc15\ubb3c\uad00 \uc18c\uc7a5", "2295": "empty", "2296": "\ubb38\ub798\ub3d9 \uc791\uac00 \uc124\uce58\ubbf8\uc220", "2297": "empty", "2298": "\uc544\ub984\ub2e4\uc6b4 \ubaa8\uc2b5 \ub2f4\uae30", "2299": "5\ub144", "2300": "empty", "2301": "\uc778\ub3c4, \uc77c\ubcf8, \ub9d0\ub808\uc774\uc2dc\uc544", "2302": "empty", "2303": "empty", "2304": "\ucda9\ub0a8", "2305": "\ubc18\uad6c\ub300 \uc554\uac01\ud654 \ubcf4\uc874", "2306": "1927\ub144", "2307": "\ubb38\ud654\uc7ac\uccad", "2308": "\ub300\uc804 \ub3d9\uad6c \uac00\uc591\ub3d9", "2309": "\ub355\uc218\uad81\uacfc\uc815\ub3d9\uc77c\uc6d0\ud0d0\ubc29", "2310": "\ubcf4\uc131 \ub300\uc6d0\uc0ac", "2311": "\uad6d\ub9bd\uace0\uad81\ubc15\ubb3c\uad00\uc0c1\uc124\uc804\uc2dc\uc2e4", "2312": "empty", "2313": "empty", "2314": "\ube44\ud30c\uc2e4", "2315": "\ucc9c\uc5f0\uae30\ub150\ubb3c \uc81c180\ud638", "2316": "\uccad\uc8fc\ub300\ud559\uad50", "2317": "\ubd88\uc124\ub300\ubcf4\ubd80\ubaa8\uc740\uc911\uacbd\ud310", "2318": "\ubb34\ub3d9\ub9c8\ub2f9", "2319": "\ub355\uc218\uad81", "2320": "20\ubb38\ud56d", "2321": "empty", "2322": "empty", "2323": "\uc591\uc591\uad70", "2324": "\uad70\uc0b0 \ub3d9\uad6d\uc0ac \uc18c\uc870\uc11d\uac00\uc5ec\ub798\uc0bc\uc874\uc0c1 \ubc0f \ubcf5\uc7a5\uc720\ubb3c", "2325": "1837\ub144", "2326": "empty", "2327": "\ud45c\uc2dc\u2024\uad11\uace0\uc758 \uacf5\uc815\ud654\uc5d0 \uad00\ud55c \ubc95\ub960", "2328": "1.7%", "2329": "empty", "2330": "\ud2b9\uc815\uc758 \ud1a0\ud53d, \uc8fc\uc81c", "2331": "empty", "2332": "empty", "2333": "empty", "2334": "\uad6d\ub9bd\ud55c\uae00\ubc15\ubb3c\uad00", "2335": "\uae30\uad00\u00b7\ubc95\uc778\u00b7\ub2e8\uccb4 \uc8fc\ucd5c \ud68c\uc758 \u25b4 5\uac1c\uad6d \uc774\uc0c1\uc758 \uc678\uad6d\uc778", "2336": "5\ubc31\ub9cc\uc6d0", "2337": "\ub300\uad00 \uacc4\uc57d\uc11c", "2338": "(\uac10\uc5fc\ubcd1) \uc138\uacc4\uc801 \uc720\ud589", "2339": "\ub300\ud45c\uc801 \ub10c\ubc84\ubc8c \ucf54\ubbf8\ub514 \uacf5\uc5f0", "2340": "\uad50\ud1b5", "2341": "\ucf54\ub85c\ub098 \uc774\ud6c4 \uc778\uac04\uacfc \ubb38\ud654", "2342": "\uc5b4\ub9b0\uc774\ubc15\ubb3c\uad00", "2343": "\uc774\uba54\uc77c \uc811\uc218", "2344": "empty", "2345": "\uc6b8\uc0b0 \uc911\uad6c", "2346": "empty", "2347": "\uc601\ud654 1\uc778\ub2f9 6\ucc9c \uc6d0 \ud560\uc778\ucfe0\ud3f0 \uc81c\uacf5", "2348": "\ucd94\uac00 \uc811\uc885", "2349": "\uc2dd\ud488, \uacf5\uc608, \uc81c\ud488, \uc758\ub958, \ucd9c\ud310\u00b7\uc778\uc1c4, \ud654\ud559, \uae30\uacc4\u00b7\uae30\uae30 \ub4f1", "2350": "empty", "2351": "\uc5f0\uac04 \ucd5c\ub300 300\ub9cc\uc6d0", "2352": "\uc790\ubc1c\uc801 \uc9c1\ubb34 \uc124\uacc4", "2353": "\uc5d0\ubc84\ub81b \uace0\uc2a4\ud2b8 \ub77c\uc778\uc988", "2354": "\ucda9\ubd81 \uccad\uc8fc\uc2dc", "2355": "\ub3c5\uc11c\uc544\uce74\ub370\ubbf8, \ubd81\uc2a4\ud0c0\ud2b8 \uc6b4\ub3d9, \uc5b4\ub9b0\uc774 \ub3c5\uc11c\ud65c\ub3d9\uc9c0\uc6d0 \ub4f1", "2356": "\uac00\ub0b4 \ud143\ubc2d", "2357": "\ucc3d\uc791 \ubc0f \uc9c1\uc5c5\uc5ed\ub7c9 \uac15\ud654, \uc0ac\ud68c\ubcf4\ud5d8 \uac00\uc785 \uc9c0\uc6d0 \ub4f1", "2358": "\ubb38\ud654\ucf58\ud150\uce20 \ud22c\uc790 \ud65c\uc131\ud654", "2359": "\uc5b8\ub860\uc0ac \uc7ac\uc9c1 1\ub144 \uc774\uc0c1", "2360": "\ucd08\ub4f11\u223c2\ud559\ub144", "2361": "\uc720\uc18c\ub144\ucd95\uad6c\uc13c\ud130 \ubc0f \ubcf5\uc2f1\uc13c\ud130 \uac74\ub9bd", "2362": "\ud55c\ub958\ubb38\ud654 \uccb4\ud5d8", "2363": "\uc0c1\ud488\uc131 \uc99d\ub300", "2364": "\ubbfc\uac04\ub2e8\uccb4 \uad50\ub958 \ud65c\uc131\ud654 \uc9c0\uc6d0", "2365": "\uc5b4\ub9b0\uc774\ucc3d\uc791\uc2e4\ud5d8\uc2e4", "2366": "\uad00\uad11\uad50\ud1b5", "2367": "\ud55c\uad6d\uad50\uc721\ud559\uc220\uc815\ubcf4\uc6d0", "2368": "\uc804\ubd81 \uc644\uc8fc\uad70", "2369": "\ud55c\ubcf5 \uace0\uccd0\uc785\uae30 (\ub9ac\ud3fc) \ud589\uc0ac", "2370": "\uad6d\ub0b4 \uc81c\uc791\uc0ac\uac00 \uc81c\uc791 \ub610\ub294 \ucc38\uc5ec\ud558\ub294 \ud574\uc678\uc9c4\ucd9c \ud504\ub85c\uc81d\ud2b8 \ub4f1\uc5d0 \ud22c\uc790\ud558\ub294 \ud380\ub4dc", "2371": "KTV", "2372": "\uadfc\uac70\ub9ac \ud734\uac00", "2373": "\uc2a4\ub9c8\ud2b8 \ub300\uc2dc\ubcf4\ub4dc", "2374": "\ubd80\ucc98\ud569\ub3d9 \ud55c\ub958\ud589\uc0ac", "2375": "empty", "2376": "\ud55c\uae00\ub9cc\ub2e4\ub77c, \ud55c\uae00\uc544 \uaf43\ub2e4\ubc1c(11:30~13:30) \ud55c\uae00\ubcf4\ub530\ub9ac", "2377": "\uc911\uad6d", "2378": "\uc77c\ubc18\uacf5\ubaa8 \ubd80\ubb38", "2379": "\ub514\uc9c0\ud138\ud3ec\ub80c\uc2dd \ub2a5\ub825 \ud5a5\uc0c1", "2380": "2021\uc544\uc2dc\uc548\ucef52\ucc28\uc591\uad81\ub300\ud68c", "2381": "empty", "2382": "\ub3c4\ube14\ub81b\ub9d8\uba67 \uc544\uc790\ub514\uad6d\ub9bd \ud22c\ub974\ud06c\uba58 \uc138\uacc4\uc5b8\uc5b4\ub300\ud559\uad50", "2383": "\uad6d\ub9bd\ub099\ub3d9\uac15\uc0dd\ubb3c\uc790\uc6d0\uad00", "2384": "\uc720\ub124\uc2a4\ucf54 \ud55c\uad6d\uc704\uc6d0\ud68c \ubb38\ud654\ud300", "2385": "\ucd08\ub2e8\uae30 \ub178\ub3d9\uc790", "2386": "\uc9c0\ub2c8\ubba4\uc9c1, \uba5c\ub860, \ubc85\uc2a4", "2387": "\uba39\ubc29\uacfc \ub2e4\uc774\uc5b4\ud2b8", "2388": "\uc0b6\uc758 \uc18c\ub9ac\ub85c\ubd80\ud130 \ub0b4 \uc548\uc758 \uc2dc\ubbfc\uc131\uc774 \uc790\ub77c\ub294 \ubb38\ud654\ub3c4\uc2dc \ubd80\ud3c9", "2389": "\uc0ac\ubb34\uacf5\uac04 \ubc0f \uc6b4\uc601\ube44\uc9c0\uc6d0", "2390": "\uc6b4\uc601\ube44", "2391": "\uadfc\ub300\uc0b0\uc5c5 \ubb38\ud654\uc720\uc0b0 \ud0c4\uad11\ubb38\ud654 \uc5ec\ud589", "2392": "\uae08\ucc9c\ucd08\ub4f1\ud559\uad50", "2393": "10\uac1c", "2394": "\uacbd\ub0a8 \uae40\ud574\uc2dc", "2395": "\uc0dd\ud65c\uccb4\uc721\uc885\ubaa9 \uc704\uc8fc\uc758 \ubcf4\uae09\uc885\ubaa9", "2396": "5\ubd84", "2397": "\ubb38\ud654\ubd84\uc57c \uc778\uc801\uad50\ub958 \ud655\ub300", "2398": "\uc11c\uc6b8\uc2dc\uccad", "2399": "empty", "2400": "\uc544\uce74\uc774\ube0c\uc804", "2401": "\uc628\ub77c\uc778\uc744 \ud1b5\ud574 \uc608\uc220\uac00\uc640 \uc2e4\uc2dc\uac04 \ud65c\ub3d9 \uc9c4\ud589", "2402": "\uad00\uad11\uc57d\uc790", "2403": "2019.9.14.-11.10.", "2404": "\ucd1d 700\ubc31\ub9cc\uc6d0", "2405": "\ucf58\ud150\uce20-\uc18c\ube44\uc7ac \uc5f0\uacc4 \ud55c\ub958\uacf5\uc5f0", "2406": "\uc8fc\ud55c \ub300\uc0ac\uad00\uc9c1\uc6d0 \ubc0f \uc678\uc2e0\uae30\uc790", "2407": "empty", "2408": "\ubb38\ud654\ubd80", "2409": "\uc77c\ubc18\uac8c\uc784\uc624\ud508\ub9c8\ucf13\uac8c\uc784", "2410": "\ub1cc \ud750\ub9bc", "2411": "\uae30\ud68d \ubd80\ubb38", "2412": "53\uac1c", "2413": "20 ~ 50\ub9cc\uc6d0 \uc774\ub0b4", "2414": "1,000\uc5b5\uc6d0", "2415": "\uc0c1\uc7a5, \uc0c1\uae08 1,000\ub9cc\uc6d0", "2416": "empty", "2417": "empty", "2418": "empty", "2419": "\uadf8\ub9b0 \ubaa8\ube4c\ub9ac\ud2f0", "2420": "\uccab \ud655\uc9c4\uc790", "2421": "\ud558\ub298 \uc0b0\ucc45\ub85c", "2422": "\ud55c \uc9c0\ubd95 \uc11c\ube44\uc2a4", "2423": "\uc11c\ucd08\uc885\ud569\uccb4\uc721\uad00", "2424": "14\uac1c\uc0ac", "2425": "\uc544\ub9c8\ucd94\uc5b4 \uac1c\ubc1c\uc790\uc791\uac00\uc804", "2426": "\ube70\ube60\ub77c \ubc24\ube0c\ub808\uba58 \uc74c\uc545\ub300", "2427": "26\uba85", "2428": "\uad50\uc721\ubd80", "2429": "\uc6d4\uc815\uc0ac", "2430": "empty", "2431": "\uacf5\ud669 \uad6c\ub9e4", "2432": "empty", "2433": "\uadf9\ub2e8\uc9c4\ub3d9", "2434": "\ucc38\uc5ec\uadfc\ub85c\uc790 20,000\uba85", "2435": "2017 \uc138\uacc4\ubb38\ud654\uc608\uc220\uad50\uc721 \uad6d\uc81c\uc2ec\ud3ec\uc9c0\uc5c4", "2436": "empty", "2437": "empty", "2438": "empty", "2439": "10%", "2440": "empty", "2441": "\ud22c\uba85\ucc3d \uac80\uc9c4", "2442": "empty", "2443": "\uad11\uc8fc", "2444": "110\ub9cc \uc7a5", "2445": "\ubc29\uc1a1\uc601\uc0c1\uc81c\uc791\uc0ac\ud611\ud68c", "2446": "\ubc18\ub824\ub3d9\ubb3c \uacf5\uacf5 \uc608\uc808", "2447": "\uc601\uc5b4", "2448": "\uac1c\ubd09(\uae30)", "2449": "\uc9c0\ubc29\ube44", "2450": "\uc232\uae38 \uc704\ub97c \uac78\uc73c\uba70 \uc778\ubb38\ud559\uc744 \ube5a\ub2e4", "2451": "\uac8c\uc784 \uc6b4\uc601 \ube44\uc6a9\uc744 \uc808\uac10", "2452": "10\uc6d4", "2453": "\ud1b5\ud569 \uc8fc\ud0dd \uc81c\uc5b4\ud310", "2454": "\uad50\uc721\ubd80", "2455": "\uad6d\uc81c\ud68c\uc758 \ucc3d\uc5c5\uc721\uc131\uc13c\ud130", "2456": "\uce68\ubc29\uc6b8", "2457": "\uc81c\uc8fc\ud2b9\ubcc4\uc790\uce58\ub3c4", "2458": "\uc5ed\uc0ac\uc758 \ud604\uc7a5\uc5d0\uc11c \uc804\ud1b5\uc758 \ub9db\uc744 \ubc30\uc6b0\ub294 \uc0b0\uc5c5\uad00\uad11", "2459": "\ud3ec\ucc9c\uc2dc", "2460": "\ub9e4\uccb4\ub2f9 3\ucc9c\ub9cc~5\ucc9c\ub9cc \uc6d0 \ub0b4\uc678", "2461": "\uace0\ub4f1\ud559\uc0dd \uc774\uc0c1 \uc77c\ubc18\uc778", "2462": "\uc758\uc815\ubd80\uc2e4\ub0b4\ube59\uc0c1\uc7a5", "2463": "empty", "2464": "\ub0a8\ub3c4\ubc14\ub2f7\uae38", "2465": "\uc804\uad6d \ubb38\uc608\ud68c\uad00", "2466": "\u321c\ud50c\ub808\uc774\ud329\ud1a0\ub9ac", "2467": "\uc0ac\ubb3c\uc778\ud130\ub137", "2468": "2020 \ucf54\ub9ac\uc544\uc624\ud508\ud14c\ub2c8\uc2a4\ub300\ud68c", "2469": "\uc138\uacc4\uc2dc\uc7a5 \ubc14\uc790\ub974", "2470": "\uc0dd\ud65c\ubb38\ud654\ub3c4\uc2dc \ubd80\ucc9c\ub9d0\ud560 \uc218 \uc788\ub294 \ub3c4\uc2dc, \uadc0\ub2f4\uc544 \ub4e3\ub294 \ub3c4\uc2dc", "2471": "\ubc14\ub2e4", "2472": "empty", "2473": "\ub300\ud55c\ubbfc\uad6d \ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2474": "2010\uff5e2013", "2475": "\ud765\ubbf8 \uc704\uc8fc\uc758 \ud55c\uad6d\uc5b4\ubc18 \uc6b4\uc601", "2476": "empty", "2477": "\ub3c5\uc77c", "2478": "\ub9e8\ubc1c\uc758 \uafc8, \uc288\ud305 \ub77c\uc774\ud06c \ub808\uc804\ub4dc", "2479": "8\uc885", "2480": "empty", "2481": "\uc548\uc804", "2482": "\uadfc\ub300\uc5ed\uc0ac\uace8\ubaa9", "2483": "\ud55c\uad6d\uc5b4\u2027\ubb38\ud654\uad50\uc721 \uae30\ubc18\uc758 \uccb4\uacc4\uc131", "2484": "\uc138\uc885\ud559\ub2f9\uc7ac\ub2e8 \ub300\ud68c\uc758\uc2e4", "2485": "empty", "2486": "2\ub2e8\uacc4", "2487": "\ubd81\uc2a4\ud0c0\ud2b8", "2488": "\ub86f\ub370\uba74\uc138\uc810", "2489": "50\uba85", "2490": "2017\ub144 \uac00\uc744! \uc720\ub124\uc2a4\ucf54 \uc138\uacc4\ubb38\ud654\uc720\uc0b0\uc744 \uc0ac\uc9c4\uc5d0 \ub2f4\ub2e4", "2491": "7\ubc31\ub9cc\uc6d0", "2492": "\uc6a9\ud488 \uc9c0\uc6d0", "2493": "\uc0dd\ud65c\uccb4\uc721\ub3d9\ud638\ud68c", "2494": "empty", "2495": "\ud638\ud06c \uc2ac\ub85c\ud504", "2496": "\uc601\uc720\uc544 \ub3c5\uc11c\uc2b5\uad00 \ud615\uc131", "2497": "\uac15\uc6d0\ubb38\ud654\uc7ac\ub2e8", "2498": "15", "2499": "empty", "2500": "empty", "2501": "1,505\ub9cc\uba85", "2502": "empty", "2503": "empty", "2504": "empty", "2505": "K-\ucee8\ubca4\uc158 \uc9c0\uc6d0", "2506": "\uc778\ubb38\uc5ec\ud589\uc744 \ud558\ub294 \ud788\uce58 \ud558\uc774\ucee4", "2507": "\ub9c8\ub85c\ub2c8\uc5d0 \uacf5\uc6d0", "2508": "\uc18c\ub4f1\uc12c", "2509": "\uac00\uc744\ub300\uc804! \uc5ec\ud589\uc774 \uc601\ud654\uac00 \ub418\ub2e4", "2510": "\uc5ed\ub7c9\uac15\ud654\uc0ac\uc5c5", "2511": "empty", "2512": "empty", "2513": "\ud55c\uac00\uc704 \uac00\uc785 \uc774\ubca4\ud2b8", "2514": "\ub300\uc804", "2515": "\uace0\ucd94\uc7a5 \ub5a1\ubcf6\uc774", "2516": "10.17.~10.21.", "2517": "\ub454\uc0b0\ub3d9", "2518": "empty", "2519": "\uc548\ubcf4\uacac\ud559\ud504\ub85c\uadf8\ub7a8", "2520": "\uc74c\ub8cc \uc57d 1,000\uac1c", "2521": "empty", "2522": "\uc544\uce74\ub370\ubbf8", "2523": "\ubb34\ub300 \uacf5\uac04", "2524": "1,505\ub9cc \uba85", "2525": "\ud300\ubcc4 \ub2f4\ub2f9 \uc9c0\uc5ed\uc758 \uc774\ubbf8\uc9c0\uc5d0 \ub530\ub77c \ud14c\ub9c8 \uc124\uc815", "2526": "empty", "2527": "4\ub300\uad81 \ubc0f \uc885\ubb18 \uc785\uc7a5\ub8cc \ud560\uc778", "2528": "\ub300\ud569\uc2e4 \ub0b4\ubd80\uc5d0\uc11c \ubc84\uc2a4 \ucd9c\u2027\ub3c4\ucc29 \ud655\uc778 \uac00\ub2a5, \uace0\uac1d\uc11c\ube44\uc2a4 \uacf5\uac04 \ud655\ub300", "2529": "\ub450\ubb34\uc9c4", "2530": "\uacf5\uc5f0\uc790", "2531": "\uc735\ud569\ubb38\ud654\uacfc\ud559\uad8c", "2532": "\uc11c\uc6b8", "2533": "\uad50\uc721\ubd80", "2534": "\u326e\ud574\uc678\uc9c4\ucd9c\uc720\uacf5", "2535": "\uc300\ubb38\ud654\ucd95\uc81c", "2536": "\uc804\ud1b5 \uccb4\ud5d8", "2537": "\uc778\ub958 \ubc0f \uc0ac\ud68c\uc801 \uae30\uc5ec\ub3c4, \ud655\uc0b0\uc131, \ud601\uc2e0\uc131 \ub4f1", "2538": "\uac70\ubc84\ub10c\uc2a4", "2539": "empty", "2540": "empty", "2541": "\ubb38\ud654\uc6d0", "2542": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "2543": "\uc791\uac00\ub85c\uc758 \uc9c4\uc785 \uc9c0\uc6d0", "2544": "empty", "2545": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2546": "\ubaa8\ubc14\uc77c \uc571", "2547": "\ub9c1\ud06c\uc0ac\uc774\ud2b8", "2548": "\uc6b0\uc0b0\uc218\uc601\uc7a5", "2549": "\ub371\uc2a4\ub77c\ubbfc\uc8fc", "2550": "\ubb38\ud654\uc608\uc220", "2551": "empty", "2552": "\uc9c0\uc0c13\uce35", "2553": "empty", "2554": "\ub300\ud55c\ubbfc\uad6d \ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "2555": "empty", "2556": "\uc720\ud1b5\ub2e8\uacc4", "2557": "empty", "2558": "empty", "2559": "5,794\uc885", "2560": "\uc911\uad6d\u00b7\uc77c\ubcf8\u00b7\ubbf8\uad6d", "2561": "\ub354 \uad11\ub300", "2562": "empty", "2563": "\uc77c(\uacf5\ubd80) \ub54c\ubb38\uc5d0 \ubc14\ube60\uc11c", "2564": "\uad11\uc8fc", "2565": "\ubb38\uccb4\ubd80", "2566": "\uc5f0\uad00 \uc800\uc791\ubb3c \uac80\uc0c9\uacfc \uc800\uc791\uad8c \uce68\ud574 \uc608\ubc29\uc744 \uc704\ud55c \uc608\uce21 \ud0d0\uc9c0 \uae30\uc220 \uac1c\ubc1c", "2567": "\uac1c\ubcc4 \uc9c0\uc6d0", "2568": "\uba58\ud1a0\ub9c1\ub370\uc774", "2569": "empty", "2570": "empty", "2571": "\uc0ac\ubb3c\uc778\ud130\ub137", "2572": "\uba54\ub2ec\ud50c\ub77c\uc790", "2573": "1,856\uc5b5\uc6d0", "2574": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc\uad50\uc721\uccad\uc5b4\ub9b0\uc774\ub3c4\uc11c\uad00", "2575": "\u25aa20\u223c50% \ud560\uc778(\uc77c\ubd80 \ud504\ub9ac\ubbf8\uc5c4 \uc0c1\ud488\ub3c4 \ud3ec\ud568)", "2576": "\uad6d\ub9bd\uacf5\uc6d0 \uc57c\uc601\uc7a5", "2577": "\uc81c\uc8fc\ud56d\uacf5 89% \ud560\uc778, \uc9c4\uc5d0\uc5b4 80% \ud560\uc778, \uacf5\ud56d\ucca0\ub3c4 \uc9c1\ud1b5\uc5f4\ucc28 \uc57d 19% \ud560\uc778", "2578": "\ub9e4\uc8fc", "2579": "empty", "2580": "\ucc9c\uc548\uc0c1\ub85d\ub9ac\uc870\ud2b8", "2581": "\uc6a9\ub450\uc0b0\uacf5\uc6d0", "2582": "\ubb38\ud559\uc774\uc815\ud45c, \ubb38\ud559\ucc44\uc9d1, \ubcf4\ubb3c\ucc3e\uae30 \uc774\ubca4\ud2b8", "2583": "\uc99d\ud3c9 \uc778\uc0bc\uace8 \ucd95\uc81c", "2584": "\uad00\uad11\ud589\uc0ac", "2585": "\ud55c\uad6d\ub9c8\uc784\ud611\ud68c\uc640 \uc5f0\uacc4, \ub9c8\uc74c\uc73c\ub85c \uc5ec\ub294 \ub9c8\uc784\uc218\uc5c5", "2586": "empty", "2587": "\uc790\uacc4\uc608\uc220\ucd0c", "2588": "20\uad00", "2589": "\uc778\ub514\uc560\ub098 \uc8fc\ub9bd\ub300\ud559\uad50", "2590": "\ud55c\uad6d\ucf58\ud150\uce20\uc9c4\ud765\uc6d0", "2591": "empty", "2592": "\uc608\uc220\uc778 \ubcf5\uc9c0\uc815\ucc45", "2593": "empty", "2594": "250\ubc31\ub9cc\uc6d0", "2595": "\uc8fc\uc81c\uad00", "2596": "empty", "2597": "empty", "2598": "3\ub144", "2599": "\uc81c\uc8fc\ubb38\ud654\uad00\uad11\uc7ac\ub2e8", "2600": "empty", "2601": "\uc548\ub3d9\uc2dc \uc911\uad6c\ub3d9 \ub3c4\uc2dc\uc7ac\uc0dd\ud65c\uc131\ud654\uacc4\ud68d", "2602": "\ubbf8\ud559\uc624\ub514\uc138\uc774", "2603": "empty", "2604": "empty", "2605": "(\uc0ac)\ub274\uc11c\uc6b8\uc624\ud398\ub77c\ub2e8", "2606": "\ub300\uae08\uc5f0\uc8fc\ub2e8 \ub300\ud48d\ub958\uc545\ud68c", "2607": "\uc62c\ub204\ub9bc \ud589\ubcf5\uac00\uc871\uc13c\ud130 \uac74\ub9bd", "2608": "empty", "2609": "empty", "2610": "\ub300\ud55c\uccb4\uc721\ud68c(\uad50\uc721\uccad)", "2611": "5\uac1c\uad6d", "2612": "empty", "2613": "empty", "2614": "empty", "2615": "\uc8fc\ubbfc\uac74\uac15\uc13c\ud130", "2616": "empty", "2617": "\ub85c\ub9e8\ud2f1\ucd98\ucc9c\ud398\uc2a4\ud2f0\ubc8c", "2618": "empty", "2619": "\uac15\uc6d0 \ucd98\ucc9c/\ub85c\ub9e8\ud2f1\ucd98\ucc9c\ud398\uc2a4\ud2f0\ubc8c", "2620": "\ud3c9\ucc3d \uc9c4\ubd80\uba74, \ub300\uad00\ub839\uba74", "2621": "\ub0a9\ubcf8\ub300\ud589\ud1b5\uacc4", "2622": "\uac15\uc6d0 \uaca8\uc6b8\uc6d0\uc815\ub300", "2623": "\u321c\ub808\uc800\ud050", "2624": "\ub3d9\ub798, \ud574\uc6b4\ub300, \uc804\ud1b5\uc2dc\uc7a5", "2625": "empty", "2626": "empty", "2627": "empty", "2628": "\ub300\ud1b5\ub839\uc0c1", "2629": "empty", "2630": "\ub3d9\ud574 \uba39\ubc29\ud22c\uc5b4", "2631": "\uc811\uadfc\uc131 \uc2e4\ud0dc\uc870\uc0ac \ubc0f \uae30\uc220\uc9c0\uc6d0", "2632": "K-POP \uacf5\uc5f0", "2633": "\uc544\ub984\ub2e4\uc6b4 \uc778\uc81c\uad00\uad11", "2634": "\uad6d\ub9bd\uacf5\uc6d0\uad00\ub9ac\uacf5\ub2e8", "2635": "73\ud300", "2636": "\uc6b8\uc0b0", "2637": "\ube0c\ub77c\uc6b4\ucef4\ud37c\ub2c8", "2638": "18\ud3b8", "2639": "\uc5f0\uadf9", "2640": "\ud751\ub2e8 \ub0a8\ub140 2\ubc8c", "2641": "empty", "2642": "empty", "2643": "2,100 \uba85", "2644": "empty", "2645": "empty", "2646": "\ud658\uacbd\uc801\u00b7\uc2ec\ub9ac\uc801 \uc6d0\uc778\uacfc \uc9c0\ub3c4\ubc29\uc548", "2647": "\ud30c\ub77c\uc0ac\uc774\ud2b8", "2648": "\u318d\ud55c\uad6d\uc758 \uc804\ud1b5\ubbfc\uc18d\ud654\ub97c \ud1b5\ud55c \ud3c9\ucc3d\uc62c\ub9bc\ud53d \uc131\uacf5\uae30\uc6d0", "2649": "\uace0\ubd80\uac00\uac00\uce58\ud654", "2650": "\uc548\uacbd\u2024\ucf58\ud0dd\ud2b8\ub80c\uc988", "2651": "\ub514\uc790\uc778\uc9c4\ud765\uc6d0", "2652": "\uace0\uc878 \uc774\uc0c1", "2653": "51\uac1c\uad6d", "2654": "\uc9c0\uc5ed \ub0b4\uc678 \uc804\uc138\uacc4", "2655": "15\uac1c", "2656": "\uc7a5\uc560\uc778 \uc804\uc6a9\uccb4\uc721\uc2dc\uc124", "2657": "\uc694\ud2b8, \uc870\uc815, \uce74\uc57d, \uce74\ub204, \uc218\uc0c1\uc2a4\ud0a4", "2658": "\uacbd\ub0a8 \uae40\ud574", "2659": "\ud55c\uad6d\ucf58\ud150\uce20\uc9c4\ud765\uc6d0", "2660": "empty", "2661": "empty", "2662": "\uc5d0\uc138\uc774 \uc4f0\uae30", "2663": "\ubd80\uac01", "2664": "2\ub144 \ubd84\ud560\uc0c1\ud658", "2665": "\uc601\uad6d", "2666": "123\ud300", "2667": "\ubc29\uc1a1\uc791\uac00 \ub274\uc6e8\uc774\ube0c \ud504\ub85c\uc81d\ud2b8", "2668": "\uc544\uc2dc\uc544\ubaa8\ub378\uacfc\ud568\uaed8 \ud558\ub294\uc6cc\ud0b9\ub370\uc774", "2669": "4\ubd84", "2670": "1\uc5b5\uc6d0", "2671": "86.3%", "2672": "empty", "2673": "1\ud68c", "2674": "\ud2b8\ub809\uc6d4\ub4dc\ud22c\uc5b4", "2675": "\ubc29\uc544\uace8\uc885\ud569\uc0ac\ud68c\ubcf5\uc9c0\uad00", "2676": "\uac74\uac15\uc0c1\uc758 \uc774\uc720", "2677": "empty", "2678": "180,000\ucc9c\uc6d0", "2679": "\ucee8\uc124\ud305 \ub300\uc0c1\uc758 \uc801\ud569\uc131", "2680": "\uc720\uc5f0\uc131", "2681": "empty", "2682": "IP \ubd84\uc57c\uc758 \uc591\uc131 \ud3c9\ub4f1: \uace0\ub824\ud574\uc57c \ud560 \uc0ac\ud56d\ub4e4", "2683": "\ub7ed\uc154\ub9ac \ud328\ud0a4\uc9c0", "2684": "\ub3c5\uc77c \ub258\ub978\ubca0\ub974\ud06c", "2685": "1\uba85", "2686": "\ubca1\uc2a4\ucf54 \uc81c1\uc804\uc2dc\uc7a5, 3B\ud640", "2687": "empty", "2688": "850\uba85", "2689": "empty", "2690": "\uac15\uc6d0", "2691": "empty", "2692": "empty", "2693": "\uae00\ub85c\ubc8c \uc720\ud1b5", "2694": "1\uc8fc", "2695": "\uae08\uc11d\ubb38\uae00\uc528\uccb4\ud5d8", "2696": "\uc2a4\ub9c8\ud2b8\ud22c\uc5b4\uac00\uc774\ub4dc \uc571 \ub2e4\uc6b4\ub85c\ub4dc \ud6c4 \uacf5\uc720\ud558\uace0 \uc2f6\uc740 \uc571 \ub0b4 \uc2a4\ud1a0\ub9ac \ud398\uc774\uc2a4\ubd81\uc73c\ub85c \uacf5\uc720", "2697": "106\uba85", "2698": "empty", "2699": "\uccad\uc8fc", "2700": "\uc5d0\uc2a4\uc5d0\uc774\uce58\ub124\uc2a4\ud06c", "2701": "empty", "2702": "\uc5f0\uc2b5\uacf5\uac04", "2703": "\uc2a4\ud0c0\ubd81\uc2a4", "2704": "24\ud68c", "2705": "empty", "2706": "empty", "2707": "\uc790\uae08 \uc735\uc790 \ub4f1 \uc81c\uc791\uc9c0\uc6d0, \ud45c\uc9c0 \ud65c\uc6a9 \ub4f1", "2708": "\ub85c\ubcf4\uce74\ud3f4\ub9ac\u321c\ub85c\uc774\ube44\uc96c\uc5bc", "2709": "\uc6b0\ub9ac\uc740\ud589 \uba85\ub3d9\uae08\uc735\uc13c\ud130 \uc55e", "2710": "\uad6d\ub0b4\uc678 \uc791\uace1\uac00\uac00 \ud568\uaed8\ud558\ub294 \ud611\uc5c5 \uc6cc\ud06c\uc20d", "2711": "\uc0ac\ub840\uc5f0\uc2b5", "2712": "\uc9c0\ubc29\uc138\ud2b9\ub840\uc81c\ud55c\ubc95", "2713": "\uc624\uc5fc\uc5d0 \uac15\ud55c \uc218\uc885", "2714": "\uac8c\uc784\uc758 \uac1c\ub150- \uac8c\uc784\uc758 \ubc1c\ub2ec\uc801 \uacfc\uc815- \uac8c\uc784 \ubb38\ud654\uc758 \ud604\uc8fc\uc18c", "2715": "\ub354 \ud30c\uc774\ube0c", "2716": "\uc138\ubbf8\ub098", "2717": "empty", "2718": "empty", "2719": "empty", "2720": "\ud504\ub85c\uadf8\ub7a8 \uae30\ud68d \uc6b4\uc601\uc758 \uc804\ubb38\uc131\u2022 \uc6b4\uc601\uc778\ub825 \uad6c\uc131 \ubc0f \uc218\ud589 \ub2a5\ub825", "2721": "empty", "2722": "empty", "2723": "\ucd08\ub4f1\ud559\uad50 4-6\ud559\ub144\uc5d0 \ud574\ub2f9\ud558\ub294 \uc5b4\ub9b0\uc774", "2724": "\ub2f4\uc591\ubb38\ud654\uc6d0", "2725": "7\uac1c", "2726": "\uad50\uc721\uacfc\uc815\uc758 \uc804\ubb38\uc131", "2727": "\ubb38\ud654\uae30\ud68d, \ubb38\ud654\uc608\uc220 \ub4f1 \uad00\ub828 \ubd84\uc57c", "2728": "\ubd84\ubc18\ubc1c\ud45c", "2729": "empty", "2730": "2015 \ub784\ub784\ub77c, \uc0b0\ucc45\ud558\ub294 \uc544\uc90c\ub9c8\ubb38\ud654\ud559\uad50", "2731": "\ub0b4\uad6d\uc778", "2732": "\uc7a0\uc7ac\ub825 \uc704\uc8fc\uc758 \uc608\uc220\ubd84\uc57c \uc601\uc7ac \uc870\uae30 \ubc1c\uad74 \ubc0f \uc591\uc131", "2733": "3\ub144\uac04 \ucc29\ub959\u00b7\uc815\ub958\u00b7\uc870\uba85\ub8cc \uac10\uba74", "2734": "\uad6d\ub9bd\uc911\uc559\ubc15\ubb3c\uad00", "2735": "\uc8fc\uac70\ubcf5\uc9c0, \ubbf8\ub798\uc8fc\ud0dd, \ubb34\uc7a5\uc560\uc8fc\ud0dd", "2736": "1,037\ub9cc \uba85", "2737": "200\ub9cc\uba85", "2738": "empty", "2739": "empty", "2740": "2,000\ub9cc \ub2e4\uc6b4\ub85c\ub4dc", "2741": "10\ub9cc\uc6d0", "2742": "empty", "2743": "\uc5b4\ub9b0\uc774 \ubba4\uc9c0\uceec\uad6c\ub984\ube75", "2744": "326", "2745": "\uc911\uc559\ub3c4\uc11c\uad00\uac15\ub2f9", "2746": "\uc6b0\ub9ac\ubbfc\uc18d\ud55c\ub9c8\ub2f9\uc0c1\uc124\uacf5\uc5f0", "2747": "\uc724\uc911\uac15\uacfc \ud568\uaed8\ud558\ub294 \uc6f0\ube59\uc74c\uc545 \ub9db\ubcf4\uae30", "2748": "\u321c\ud050\ub9ac\uc5b4\uc2ac\ub9ac", "2749": "\uc9c0\uc0c1 9\uce35", "2750": "2015.1.5(\uc6d4)", "2751": "\ucf00\uc774\ud154", "2752": "\ub9c8\uc744", "2753": "\ud638\uc218\uacf5\uc6d0 \uc218\uc0c1\ubb34\ub300\uc12c", "2754": "\uc6d4\uc694\uc77c", "2755": "59", "2756": "5.18 \ubbfc\uc8fc\uad11\uc7a5", "2757": "\uc804\ub0a8 \uc5ec\uc218\uc2dc \uc624\ub3d9\uc7ac", "2758": "(\uad6c)\uc911\uc559\ub3d9\uc0ac\ubb34\uc18c", "2759": "\ub300\uc911\uc131", "2760": "A4 \uae30\uc9002\ucabd \uc774\ub0b4", "2761": "\uac15\uc6d0\ub3c4", "2762": "\ubabd\ud0c4\uc5ed", "2763": "3\ud68c", "2764": "5\uc6d4", "2765": "\uc0ac\uc0c1\uad6c \ubaa8\ub77c3\ub3d9 551 \ubaa8\ub77c\uc885\ud569\uc0ac\ud68c\ubcf5\uc9c0\uad00", "2766": "10\uc138 \u223c 19\uc138", "2767": "\uc804\uc8fc \ud55c\uc625\ub9c8\uc744", "2768": "4\uba85", "2769": "1/4\ubd84\uae30", "2770": "empty", "2771": "3\uc6d4", "2772": "\uc6b0\uc988\ubca0\ud0a4\uc2a4\ud0c4", "2773": "empty", "2774": "\uc740\uad00\ubb38\ud654\ud6c8\uc7a5", "2775": "\ud314\ubcf5\uc6d0", "2776": "\ubc95\u0387\uc81c\ub3c4", "2777": "\uc720\uc544, \ucd08\ub4f1\ud559\uc0dd", "2778": "empty", "2779": "AA \ub514\uc790\uc778\ubba4\uc9c0\uc5c4", "2780": "empty", "2781": "\uad11\ud654\ubb38 \uc2dc\ubbfc \uc5f4\ub9b0\ub9c8\ub2f9", "2782": "\ubc31\ud654\uc810, \uae30\ub150\ud488\uc810, \uc77c\ubc18\uc0c1\uc810", "2783": "\ub2e4\ud568\uaed8\ucc28\ucc28\ucc28", "2784": "\uc0ad\uc0ac\ub974 \ubc14\ub78f \ubbf8\uc158", "2785": "\uc9c0\uad6c\ucd0c \ud328\uc158\uc1fc", "2786": "empty", "2787": "10\ubd84", "2788": "9.6(\uae08)", "2789": "\uc778\ucc9c\ubb38\ud654\uc7ac\ub2e8", "2790": "\uc77c\uc0c1\uc18d \ubcf4\ubb3c\uc744 \uc8fc\uc81c\ub85c \uc5f0\uadf9\uacfc \uc601\ud654 \ub9cc\ub4e4\uae30", "2791": "empty", "2792": "\uc804\uad6d \uad50\uc815\uc2dc\uc124, \uad6c\uce58\uc18c, \ubcf4\ud638\uad00\ucd2c\uc18c, \uc18c\ub144\uc6d0", "2793": "empty", "2794": "\uc784\ub300\uc8fc\ud0dd \uc21c\ud68c\uc0ac\uc5c5", "2795": "\ud611\uc57d\uccb4\uacb0\uc77c", "2796": "\ucee8\uc124\ud305", "2797": "empty", "2798": "40", "2799": "\uc0ac\uc5c5\uc218\ud589\ub2a5\ub825", "2800": "\uc544\ub08c\uc5c6\uc774\uc8fc\ub294\ub098\ubb34", "2801": "empty", "2802": "\ud6c4\ub808\uc815\ubcf4\ud1b5\uc2e0\ub300\ud559\uad50 \ud55c\uad6d\uc5b4\ubb38\ud654\uad50\uc721\uc6d0", "2803": "\uc8fc\uc758", "2804": "80\uba85", "2805": "\ud55c\uad6d\uac74\ucd95\uac00\ud611\ud68c", "2806": "\ucc3d\uc791 \uc5ed\ub7c9\uc758 \ubc1c\uad74 \uc721\uc131\uc774 \ud544\uc694\ud55c \uac1c\uc778 \ucc3d\uc791 \uc608\uc220\uc778", "2807": "\uc9c0\uc6d0 \ud544\uc694\uc131", "2808": "\uc74c\uc545, \ubb34\uc6a9, \uc5f0\uadf9, \uad6d\uc545", "2809": "\ubb34\ub8cc", "2810": "\ub300\ud45c\uc120\uc218\uc758 \uad00\ub9ac", "2811": "\uc6b0\ud3c9\ub9c8\uc744\uad7f \ucd95\uc804", "2812": "\uc6a9\ud3c9\ub3d4", "2813": "empty", "2814": "\ud604\uc9c0 \uc608\uc220\uac00, \ud55c\uad6d\uc5b4\uad50\uc0ac, \uc790\uc6d0\ubd09\uc0ac\uc790 \ub4f1 \uc0ac\uc804\uc6cc\ud06c\uc20d", "2815": "\uad6d\uc81c\ud658\uc2b9", "2816": "\ub18d\ud611\ub300\ud559\uad50", "2817": "\uc77c\ubcf8", "2818": "\ud544\ub4dc\ud2b8\ub9bd", "2819": "\uce74\uc790\ud750\uc2a4\ud0c4", "2820": "\ub0a8\ud574\uc548 \uc2b5\uc9c0 \uad00\uad11\ucf54\uc2a4 \uac1c\ubc1c", "2821": "\uccad\uc8fc \uac00\uacbd\ud130\ubbf8\ub110\uc2dc\uc7a5", "2822": "\ud55c\uc77c\ubb38\ud654\uad50\ub958\ud68c\uc758", "2823": "empty", "2824": "\ubb38\ud654\uc608\uc220\uccb4\ud5d8 \ud504\ub85c\uadf8\ub7a8", "2825": "\uae30\ucd08\uc774\ud574", "2826": "2005.07.08", "2827": "5\ub144\uc774\ud558 \uc9d5\uc5ed\uc774\ub098 5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "2828": "10\uc6d4 15\uc77c", "2829": "\ud1a0\uc694\ubb38\ud654\ud559\uad50 \uc6b4\uc601", "2830": "empty", "2831": "\ud1b5\ud569\uad50\uc721", "2832": "empty", "2833": "\uc9c0\uba74\uc778\uc1c4", "2834": "empty", "2835": "1920\uba85", "2836": "empty", "2837": "\uc804\ub7b5 \uae30\ud68d", "2838": "\uc5f0\ud76c\ub09c\uc7a5", "2839": "empty", "2840": "3D \uc785\uccb4 \ub808\uc774\uc5b4 \uc560\ub2c8\uba54\uc774\uc158\uc744 \uc774\uc6a9\ud55c 3D \ube14\ub8e8\ub808\uc774 \uc81c\uc791", "2841": "empty", "2842": "\uc11c\uc6b8", "2843": "5", "2844": "empty", "2845": "\uac00\uc0c1\ud604\uc2e4", "2846": "empty", "2847": "empty", "2848": "\uc874 \ucf00\uc774\uc9c0\uc640 \uc2e4\ud5d8\ubbf8\uc220\u300d\uc804", "2849": "\ud604\uc9c0\uc608\uc220\ub2e8", "2850": "\uce74\uba54\ub77c\uc5d0 \ub2f4\ub294 \ud55c\ubcf5", "2851": "\ubc18\uc57c\uc6d4 \uc0c8\uc2f9 \ubb38\uace0", "2852": "\uc601\uc885\ub3c4\uc11c\uad00", "2853": "\uc804\ud654\uc870\uc0ac", "2854": "\ub3c4\uc11c\uad00 \ub3c5\uc11c\uc608\uc220\ucc3d\uc791 \uacf5\ubaa8\uc804", "2855": "empty", "2856": "\uc0c1\uc778\uc758 \uac1c\uc131", "2857": "\ubb38\ud654\uc0ac\ub791\ubc29", "2858": "empty", "2859": "9.19(\ud1a0)", "2860": "empty", "2861": "\uad00\ub9ac\uc131", "2862": "2.4%", "2863": "\ub3c4\uc11c\uad00 \ubc1c\uc804 \uacc4\ud68d\uc218\ub9bd \uc5ec\ubd80", "2864": "\ud6c4\uc6d0", "2865": "empty", "2866": "4\uc218\uc900", "2867": "\uc57d 62\ub9cc \uba85", "2868": "empty", "2869": "\uacf5\ubb34\uc6d0", "2870": "\ub3c5\ud6c4\uac10\uc0c1\ubb38\uacf5\ubaa8\uc804", "2871": "empty", "2872": "empty", "2873": "\ub3c5\uc11c\uc758 \ub2ec \ucc45\ub180\uc774 \ud2b9\uac15", "2874": "\ubbf8\uad6d", "2875": "\uc9c0\uc5ed\uc744 \uc21c\ud68c \uac1c\ucd5c", "2876": "\ubd80\uc0b0", "2877": "\uc601\ub4f1\ub3c4\uc11c\uad00", "2878": "2700\ub9cc \uba85(2001) \u2192 4200\ub9cc \uba85", "2879": "empty", "2880": "\ube0c\ub8e8\ub098\uc774 \ub2e4\ub8e8\uc0b4\ub78c\uc758 \ubb38\ud654\uc640 \uc608\uc220", "2881": "\ubbfc\uc74c\uc0ac", "2882": "\uacbd\uc778\ubb38\ud654\uc0ac", "2883": "empty", "2884": "\uc5ec\uc131\uac00\uc871\ubd80", "2885": "empty", "2886": "\uc885\uad50", "2887": "\uc5b8\uc5b4\uc758 \uc608\uc220", "2888": "\uc6b0\ub9ac\uad50\uc721", "2889": "empty", "2890": "empty", "2891": "\uc0dd\ud0dc\ud559\uc2b5\uad6c\uc5ed", "2892": "2\uc5b5\uc6d0", "2893": "empty", "2894": "\uc131\uc545 \uc559\uc0c1\ube14", "2895": "\ud574\uc678\uc1fc\ucf00\uc774\uc2a4 \uac1c\ucd5c \uad00\ub828 \ud56d\uacf5\ub8cc \ubc0f \uccb4\uc7ac\ube44 \uc9c0\uc6d0", "2896": "\ubf40\ub871\ubf40\ub871 \ubf40\ub85c\ub85c", "2897": "\uad11\uc548\ub9ac\ud574\uc218\uc695\uc7a5", "2898": "empty", "2899": "\ucc45 \uc18d\uc73c\ub85c \ub4e4\uc5b4\uac00\ub294 \ubb38", "2900": "\ucc3d\uc758\uc801 \uc9c0\uc2dd\uacfc \uc544\uc774\ub514\uc5b4\uac00 \ubd80\uac00\uac00\uce58\ub97c \ucc3d\ucd9c\ud558\ub294 \uc2dc\ub300\ub85c \ubcc0\ud654", "2901": "empty", "2902": "\ud559\uad50\uc6b4\ub3d9\uc7a5, \uc9c0\uc5ed \ub0b4 \uc6b4\ub3d9\uc7a5 \ub300\ud55c\ucd95\uad6c\ud611\ud68c \uc9c0\uc815 \uc6b4\ub3d9\uc7a5", "2903": "empty", "2904": "\uacbd\uae30", "2905": "10-2004-0038357", "2906": "\ubb38\ud5cc \uc911\uc2ec \ud3c9\uac00\ubc29\ubc95", "2907": "\uc218\uc0ac\uc790\ub8cc\ud45c DB", "2908": "\uc11c\uc6b8\u00b7\uc624\uc1a1\uccad\uc0ac \uc6b4\uc601", "2909": "\ud658\uacbd\ubd80 \ucd08\ub85d\ub204\ub9ac", "2910": "\uc11c\uc6b8\uc2dc\uccad \uc11c\uc18c\ubb38\ubcc4\uad00 \ud6c4\uc0dd\ub3d9 \uac15\ub2f9", "2911": "5\uba85", "2912": "10\uba85", "2913": "26%", "2914": "empty", "2915": "5\uac1c", "2916": "0\uac74", "2917": "empty", "2918": "empty", "2919": "30", "2920": "50\uc138", "2921": "\uc18c\ub4dd \uc804\uccb4\ub97c \uad6d\ubbfc\uc5f0\uae08\ubc95\uc0c1 \uc18c\ub4dd\uc73c\ub85c \uc778\uc815", "2922": "\uc5c6\uc74c", "2923": "\uc81c\uc8fc", "2924": "7\uff5e20\ub9cc \uc6d0", "2925": "\ub178\uc6d0\uad6c\ubcd1", "2926": "\ubb34\ub1cc\ud68c\uc99d", "2927": "\ub18d\ud611\u00b7\uc0c8\ub9c8\uc744\uae08\uace0", "2928": "\uc804\uad6d\uac00\uad6c\uc6d4\ud3c9\uade0\uc18c\ub4dd 120% \uc774\ud558 \ub2e4\ubb38\ud654 \uac00\uc815 \uc544\ub3d9 \ubc0f \ubd80\ubaa8", "2929": "\uad6d\ubbfc\uad8c\uc775\uc704\uc6d0\ud68c", "2930": "empty", "2931": "65\uc138", "2932": "\ub85c\ubd07\uad00", "2933": "\ub300\uad6c\uad11\uc5ed\uc2dc", "2934": "empty", "2935": "60\ub9cc\uc6d0", "2936": "19:00\u223c19:25", "2937": "empty", "2938": "\uc601\uc591\uc774 \uad81\uae08\ud558\uc138\uc694? \uc774\uc81c\ub294 \uce5c\ud574\uc9c8 \uc218 \uc788\uc5b4\uc694!", "2939": "18\uc791\ud488", "2940": "empty", "2941": "empty", "2942": "\ucda9\ub0a8", "2943": "KOTRA", "2944": "\ud604\uc7a5 \ucd9c\ub3d9", "2945": "\uad6d\ubbfc\uac74\uac15\ubcf4\ud5d8\ubc95\ub839", "2946": "empty", "2947": "\uc0b0\ub9bc\uccad", "2948": "\uc678\uad50\ubd80", "2949": "2015\ub144", "2950": "\uc790\uc5f0\uc7ac\ud574, \ub0b4\ub780\u2024\uc804\uc7c1", "2951": "2\uac1c\uc6d4", "2952": "2019.7.25", "2953": "empty", "2954": "empty", "2955": "\uad6d\ub9bd\uad6d\uc545\uc6d0", "2956": "\ubb38\ud654\ubc1c\uc804\uacfc \uc800\uc791\uad8c", "2957": "20\ub9cc 9960\uc6d0", "2958": "22\uac1c", "2959": "\uc815\uc2e0\ubcf4\uac74\ud658\uacbd\uc870\uc131", "2960": "\uc5f0\uc911 \uc218\uc2dc\ubaa8\uc9d1 \uc804\ud654 \ubc0f \ub0b4\uc6d0\uc0c1\ub2f4", "2961": "2011", "2962": "\uc8fc\ud0c4\uc790\ub2c8\uc544\ub300\uc0ac\uad00", "2963": "\ub300\uc804", "2964": "\uacbd\uc0c1\ub0a8\ub3c4 \uae40\ud574\uc2dc\ubcf4\uac74\uc18c", "2965": "\ud640\ub85c\uadf8\ub7a8", "2966": "\ud55c\uad6d\uac1c\ubc1c\uc5f0\uad6c\uc6d0", "2967": "3\uc8fc\uac04", "2968": "\ud0dc\ud3c9\uc591 \uc12c", "2969": "empty", "2970": "\ub0c9\uc7a5\uace0\ub098\ub77c \ucf54\ucf54\ubabd", "2971": "\ubc29\ud1b5\uc704", "2972": "empty", "2973": "\uc624\uc0b0\uc2dc \uc794\ub2e4\ub9ac\ub9c8\uc744", "2974": "120\ub9cc\uc6d0", "2975": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "2976": "empty", "2977": "empty", "2978": "empty", "2979": "empty", "2980": "\uc0bc\uc131SDS, LG CNS, SK C&C \ub4f1", "2981": "\uc548\uce58\uc2e4, \uc5fc\uc2b5\uc2e4", "2982": "empty", "2983": "\uc790\uaca9\ucde8\uc18c", "2984": "\uc624\uc5fc\ub41c \ud574\uc218\uac00 \uc0c1\ucc98\ubd80\uc704\ub97c \ud1b5\ud574 \uce68\uc785", "2985": "\uace0\uc6a9\ubd80", "2986": "UCC", "2987": "\ubc14\uc6b0\ucc98", "2988": "\uc5ec\uc131\ubcf4\uac74\ud734\uac00\ub97c \uc0dd\ub9ac\ubaa9\uc801(\ubb34\uae09\ud734\uc77c, \uc6d4 1\uc77c)\uc73c\ub85c \uc77c\uc6d0\ud654", "2989": "6\ud3b8", "2990": "\u25aa\uac19\uc740 \ud658\uc790\uc5d0 \ub300\ud55c \uc6d0\uaca9 \uc9c4\ub2e8\u00b7\ucc98\ubc29\uc2dc \uc8fc\uae30\uc801\uc778 \ub300\uba74\uc9c4\ub8cc \ud544\uc694", "2991": "empty", "2992": "\uc9c0\uccb4(\uc0c1\uc9c0\u2024\ud558\uc9c0), \ub1cc\ubcd1\ubcc0, \uc2dc\uac01, \uc2ec\uc7a5, \ud638\ud761\uae30, \uac04", "2993": "\uc5f4\uc0ac\ubcd1", "2994": "\uc11c\ube44\uc2a4", "2995": "\ub4c0\uc624\ubc31\uc624\ud53c\uc2a4\uccb4\uc5b4\ub514\uc790\uc778", "2996": "\uac15\uc6d0\ub3c4", "2997": "\uc801\uc815\uc131\uacfc \uc2e4\ud604 \uac00\ub2a5\uc131", "2998": "\ubcf4\ud5d8\ub8cc\ub97c \ubd80\ub2f4\ud558\ub294 \uc801\uc6a9 \ub300\uc0c1\uc790", "2999": "800\uc6d0", "3000": "empty", "3001": "empty", "3002": "R&D\ud22c\uc790\uc728(R&D\ud22c\uc790/\ub9e4\ucd9c\uc561) 5% \uc774\uc0c1", "3003": "empty", "3004": "CCTV, \uc18c\ubc29, \uc7ac\ub09c\uc548\uc804 \ub4f1", "3005": "\uc601\uad6d", "3006": "150\ub9cc \uc6d0", "3007": "empty", "3008": "1\ud68c", "3009": "empty", "3010": "\ub300\uc804", "3011": "5\ub9cc\uc6d0 \uc774\ud558", "3012": "\uc5f4\ubd80\uc885", "3013": "empty", "3014": "empty", "3015": "\uc18c\ubc29\uc758 \uad6d\uac00\uc9c1\ud654\ub85c \uc804\uad6d \uc5b4\ub514\ub4e0 \uc548\uc804\ud55c \uc18c\ubc29\uc11c\ube44\uc2a4 \uc9c0\uc6d0", "3016": "\uc778\uad6c\uc5ec\uc131\uc815\ucc45\ud300", "3017": "\ubc14\uc774\uc54c", "3018": "empty", "3019": "empty", "3020": "60%", "3021": "7\ucc9c\ub9cc\uc6d0 \uc774\ud558", "3022": "2015. 5.27.", "3023": "\ubcf4\uc721\ud658\uacbd", "3024": "LGCNS\uc5b4\ub9b0\uc774\uc9d1", "3025": "\ud55c\uad6d\uc7a5\uc560\uc778\uace0\uc6a9\uacf5\ub2e8", "3026": "2015\ub144 \uc774\ud6c4 \ud658\uc790\ubc1c\uc0dd \uad6d\uac00\ub85c \ud655\ub300", "3027": "\ub2a5\ub3d9\uc5b4\ub9b0\uc774\uc9d1", "3028": "\uc559\uace8\ub77c", "3029": "\uc790\uc0b4\uc790\uc640\uc758 \uad00\uacc4, \uc790\uc0b4\uc790\uc758 \uc0ac\ub9dd \uc804 \ubaa8\uc2b5, \uacbd\uace0\uc2e0\ud638: \uc5b8\uc5b4, \ud589\ub3d9, \uc815\uc11c", "3030": "empty", "3031": "\uad11\uc8fc\uad11\uc5ed\uc2dc", "3032": "\uc9c0\uc5ed\uc0ac\ud68c \ubc1c\uc804 \ubc0f \uac1c\ubc1c\uc5d0 \uacf5\ud5cc\ud558\ub294 \uacf5\uc775\uc131\uc774 \uac15\ud55c \uc77c\uc790\ub9ac", "3033": "empty", "3034": "\ubaa9\ud654\uc5b4\ub9b0\uc774\uc9d1", "3035": "\ubd80\ucc98\u2024\uc601\uc5ed\uac04 \uce78\ub9c9\uc774 \uc81c\uac70", "3036": "\ucee4\ud50c\ub370\uc7742\ud638\uc810", "3037": "\uc0c1\ub2f4\uc0ac\uc5c5", "3038": "30\ub9cc \uc6d0", "3039": "empty", "3040": "119\ub9cc \uc6d0", "3041": "\ucd08\ubbf8\uc138\uba3c\uc9c0 \uace0\ud6a8\uc728 \uc800\uac10\uae30\uc220 \ud655\ubcf4", "3042": "\uc591\ucc9c\uad6c", "3043": "\uc2a4\ub9c8\ud2b8\ud0dd\ubc30", "3044": "\ud589\ubcf5\ud50c\ub7ec\uc2a4\ubd09\uc0ac\ud074\ub7fd", "3045": "empty", "3046": "empty", "3047": "\uc911\ubcf5\uc774\uc6a9", "3048": "\ubaa8\ub2c8\ud130\ub9c1\uc9c0\ud45c(3) \ud56d\uc0dd\uc81c", "3049": "50\uc810", "3050": "64\ub9cc\uc6d0", "3051": "\uac00\uc815\ubcf4\uc721", "3052": "\uac00\uc815\ubcf4\uc721", "3053": "107", "3054": "\ud1b5\ud569\uc804 \uc2dc\u00b7\uad70\u00b7\uad6c\ub2f9 \ud2b9\ubcc4\uad50\ubd80\uc138 \uc9c0\uc6d0 \ud655\ub300", "3055": "52.2\uba85 \u2192 70.0\uba85", "3056": "\uacf5\uacf5\uc870\ub2ec\u00b7\uc815\ubd80\uc9c0\uc6d0\uc0ac\uc5c5", "3057": "empty", "3058": "\ud1b5\ud569\uc790\uce58\ub2e8\uccb4 \uad50\uc721\uc5ec\uac74 \uac1c\uc120 \uc9c0\uc6d0", "3059": "\uad11\uc5ed\ubb38\ud654\ub3c4\uc2dc \uc870\uc131 \uc6b0\uc120 \uc9c0\uc6d0", "3060": "\uad6d\uacf5\ub9bd\ubcf4\uc721", "3061": "60\uba85", "3062": "\ubb38\ud604\ub3d9", "3063": "\ud559\uad50\uc758 \ucde8\uc5c5\uc9c0\uc6d0 \uae30\ub2a5 \uac15\ud654\uc77c\uacfc \ud559\uc2b5\uc744 \ubcd1\ud589\ud558\ub294 \ud6c4\uc9c4\ud559 \uccb4\uc81c \uad6c\ucd95", "3064": "empty", "3065": "\uadf8\ub9bc\ub098\ub77c\uc5b4\ub9b0\uc774\uc9d1", "3066": "empty", "3067": "3.5\uc870\uc6d0", "3068": "\ub2ec\ub098\ub77c\uc5b4\ub9b0\uc774\uc9d1", "3069": "\uc5e0\ub9c8\ub204\uc5d8\uc7a5\uc560\uc804\ub2f4\uc5b4\ub9b0\uc774\uc9d1", "3070": "30\ub9cc \uc6d0", "3071": "\ub300\uad6c \uc911\uad6c", "3072": "empty", "3073": "\uae08\uc735\uc704\uae08\uac10\uc6d0", "3074": "\uc804\ub0a8 \ub2f4\uc591", "3075": "\ubc95\uc778\ubcf4\uc721", "3076": "empty", "3077": "\ud615\uc9d1\ud589 \uc885\ub8cc \ud6c4 5\ub144", "3078": "\uad6d\uacf5\ub9bd\ubcf4\uc721", "3079": "238", "3080": "\ubbfc\uac04\ubcf4\uc721", "3081": "\uc9d5\uac80\ub2e4\ub9ac\uc5b4\ub9b0\uc774\uc9d1", "3082": "2,000\ub9cc\ubd88", "3083": "\uacbd\uae30\ub3c4 \ub3d9\ub450\ucc9c\uc2dc, \uac15\uc6d0\ub3c4 \uc601\uc6d4\uad70, \ucda9\uccad\ub0a8\ub3c4 \uccad\uc591\uad70", "3084": "\uc804\ub77c\ubd81\ub3c4 \uc644\uc8fc\uad70", "3085": "empty", "3086": "3\uc8fc \uc601\uc5c5\uc815\uc9c0", "3087": "\uc9c0\ubc29 \ubd84\ud574 \ubc0f \uc0dd\uc131 \uc5b5\uc81c \ud574\uc591\uc131 \ubbf8\ub124\ub784 \uc870\uc131\ubb3c", "3088": "21\uc778 \uc774\uc0c1", "3089": "\ubc95\uc778\ubcf4\uc721", "3090": "\uc9c0\ubc29\uc790\uce58\ubc1c\uc804 \uc815\ucc45\uc138\ubbf8\ub098", "3091": "\uad6d\uacf5\ub9bd\ubcf4\uc721", "3092": "\uac15\uc678\uc624\uc1a1\uc5b4\ub9b0\uc774\uc9d1", "3093": "\uad6d\uacf5\ub9bd\ubcf4\uc721", "3094": "\uc601\ub3d9\uc74d", "3095": "\ub4f1\ub85d\ucde8\uc18c", "3096": "\ubc95\uc778\ubcf4\uc721", "3097": "\ud3ec\uc2a4\ucf54", "3098": "\ubc95\uc778\ubcf4\uc721", "3099": "\uc81c\ub3c4 \uc801\uc6a9\uc774 \uc26c\uc6b4 \uc2a4\uce74\uc6b0\ud2b8, \uac78\uc2a4\uce74\uc6b0\ud2b8, YMCA\ub4f1 \uc5f0\uacc4 \ucd94\uc9c4", "3100": "empty", "3101": "\ub450\ub1cc\uc0ac\ub791\uc5b4\ub9b0\uc774\uc9d1", "3102": "\uc784\ub9c8\ub204\uc5d8\uc5b4\ub9b0\uc774\uc9d1", "3103": "empty", "3104": "empty", "3105": "\ubc95\uc778\ubcf4\uc721", "3106": "\ud604\ud310\uc81c\ub9c9 \ubc0f \uae30\ub150\ucd2c\uc601", "3107": "\uc678\uad6d\ub3c4\uc2dc \uacf5\ubb34\uc6d0 \uc5f0\uc218", "3108": "\uc88c\ud3ec\uc6d0\uad11\uc5b4\ub9b0\uc774\uc9d1", "3109": "FDA \uc2b9\uc778 \uc804 \ud310\ub9e4 \ubd88\uac00", "3110": "3\uc5b5\uc6d0", "3111": "empty", "3112": "\ubd80\ub2f9\uad8c\uc720\uae08\uc9c0", "3113": "34\uac74", "3114": "8\uba85", "3115": "\ucc9c\uc0ac\ub098\ub77c\uc5b4\ub9b0\uc774\uc9d1", "3116": "\ubbfc\uac04\ubcf4\uc721", "3117": "\uc9d1\uc218\ub9ac, \ud478\ub974\ubbf8 \uccad\uc18c\uc0ac\uc5c5, \ub300\uc7a5\uae08 \uc74c\uc2dd\uc0ac\uc5c5 \ub4f1", "3118": "46", "3119": "\ubbfc\uac04\ubcf4\uc721", "3120": "empty", "3121": "OECD \ud3c9\uade0\uc744 \uc0c1\ud68c\ud558\ub294 \uc8fc\uc694 \uc554 \uc0dd\uc874\ub960 \ubc0f \uae30\ub300\uc218\uba85", "3122": "\ud3d0\ud604\uc218\ub9c9 \uc7ac\ud65c\uc6a9, \uc5b4\ub958 \uac00\uacf5, \uce74\ud398 \uc6b4\uc601 \ub4f1", "3123": "\uc2e0\ub155\uc5b4\ub9b0\uc774\uc9d1", "3124": "\ubc31\ucc9c\uc5b4\ub9b0\uc774\uc9d1", "3125": "empty", "3126": "2\uc885", "3127": "\ucd08\ud654\uc5b4\ub9b0\uc774\uc9d1", "3128": "\ub3c5\uc77c \uc77c\ubcf8 \ud638\uc8fc \ud504\ub791\uc2a4", "3129": "\uac00\uad6c\ubc29\ubb38\uba74\uc811\uc870\uc0ac", "3130": "empty", "3131": "1\ucc28", "3132": "empty", "3133": "empty", "3134": "\ubcf5\uc9c0\ubd80 \ucd08\uae30\ucc3d\uc5c5\ud380\ub4dc \uc18c\uac1c(\uc2dc\ub108\uc9c0\uc544\uc774\ube44)", "3135": "\uad6d\uc81c\ubb34\uc608\uc13c\ud130", "3136": "CRT", "3137": "\uce74\ud398", "3138": "29.75\uff5e36.76\uc77c", "3139": "\ud544\ub9ac\ud540, \ub77c\uc624\uc2a4", "3140": "empty", "3141": "\uc2e0\uc6a9\ud68c\ubcf5\uc704\uc6d0\ud68c", "3142": "empty", "3143": "\uc0c1\ubc29 \uacbd\ub85c\ub2f9", "3144": "3~6\uc77c", "3145": "\ub300\ud1b5\ub839\ud45c\ucc3d", "3146": "\ucca0\ubd84\uc81c \uc9c0\uc6d0", "3147": "2~3\uc77c\uac04", "3148": "\ubaa8\ub780\ubbfc\uc18d5\uc77c\uc7a5", "3149": "7\uac74", "3150": "empty", "3151": "8\uc870\uc6d0", "3152": "empty", "3153": "\ud488\ubaa9\ubcc4 NPEs\ub3d9\ud5a5 \ubcf4\uace0\uc11c", "3154": "empty", "3155": "\uc5f0\uba85\uc758\ub8cc\uacc4\ud68d\uc11c", "3156": "\uc9c0\uc815\ucde8\uc18c", "3157": "2016.6.16", "3158": "6\uac1c", "3159": "\uac00\uc871 \ubc0f \ud1b5\ud569\uc9c0\uc6d0 \uc11c\ube44\uc2a4", "3160": "empty", "3161": "\ub300\uad6c\ub2ec\uc11c\uc2dc\ub2c8\uc5b4\ud074\ub7fd", "3162": "\uc131\ud615(\uac74\uc2dd, \uc2ac\ub7ec\ub9ac \uce90\uc2a4\ud305)\uc18c\uacb0(\ubb34\uac00\uc555, \uac00\uc555)", "3163": "empty", "3164": "\uac00\uc871 \ubc0f \ud1b5\ud569\uc9c0\uc6d0 \uc11c\ube44\uc2a4", "3165": "\ud1a0\ucf08\ub77c\uc6b0", "3166": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "3167": "empty", "3168": "210\uc5b5\uc6d0", "3169": "\ud604\uc7a5 \uc218\uc694\ub9de\ucda4\ud615 \uad50\uc721", "3170": "\uc721\uc544\ud734\uc9c1 \uacb0\uc6d0\ubcf4\ucda9 \uac1c\uc120", "3171": "\ubcf5\uc9c0\ub85c \uc0ac\uc774\ud2b8 \uace0\ub3c4\ud654\uc5d0 \ub530\ub77c \uad00\ub828 \ubaa8\ubc14\uc77c \uc11c\ube44\uc2a4 \uac1c\ud3b8", "3172": "\uc11c\ub958\ud568\uae30\ubc95", "3173": "empty", "3174": "2017\ub144", "3175": "\uc2dc\uad70\uad6c \ubc29\ubb38\uc744 \ud1b5\ud574\uc11c\ub9cc \uc218\ub839 \uac00\ub2a5", "3176": "1\uac1c", "3177": "1\ub144", "3178": "\uc778\uc0ac\uad8c", "3179": "\uc790\uce58\ub2e8\uccb4\uc7a5\uc774", "3180": "\uc6d4 30\ub9cc\uc6d0", "3181": "\uac80\ucc30\uc5d0 \uae30\uc18c\uc758\uacac \uc1a1\uce58\ud55c \ub0a0\ub85c\ubd80\ud130 5\uac1c\uc6d4 \uc774\ub0b4", "3182": "empty", "3183": "empty", "3184": "empty", "3185": "empty", "3186": "\ub274\uc695", "3187": "\ucf54\uc778 \ub178\ub798\ubc29", "3188": "\uc885\uc0ac\uc790 \uc790\uaca9\uc815\uc9c0", "3189": "3,800\ub9cc \uc6d0", "3190": "empty", "3191": "\uc11c\ub300\ubb38\uad6c\ub300\ud604\uacf5\uc6d0", "3192": "\uaca8\uc6b8\uc774 \uc9c0\ub098\uba74 \ubc18\ub4dc\uc2dc \ubd04\uc740 \uc628\ub2e4.", "3193": "\uace8\uc218\ub9d0\ucd08\ud608\uc81c\ub300\ud608", "3194": "15\uc870 \uc6d0", "3195": "empty", "3196": "\ubaa9\ud3ec\ud55c\uad6d\ubcd1\uc6d0", "3197": "\uc2e0\uacbd\uacfc", "3198": "\uc0ac\ud68c\ubcf4\uc7a5\uae09\uc5ec\uc758 \uc2e0\uccad \uc7a5\ubcbd \uc644\ud654", "3199": "\uc81c4\ud68c \uc74c\uc545\uc0b0\uc5c5\ud3ec\ub7fc", "3200": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80", "3201": "\ubcf4\uac74\ubcf5\uc9c0\ubd80 \uc7a5\uad00\uc0c1", "3202": "\uc0ac\ud68c\ubcf5\uc9c0\ubd84\uc57c", "3203": "\uc11c\uba74\ub514\uc2a4\ucf13", "3204": "\uc2dc\uc7a5 \ub300\uc751 \ucd1d\uad04, \ud544\uc694\uc2dc \uae08\uac10\uc6d0\uacfc \uacf5\ub3d9 \uc870\uc0ac", "3205": "empty", "3206": "\uc0c1\uc18d", "3207": "empty", "3208": "empty", "3209": "\ud589\uc0ac\ucd95\uc81c \ud1b5\ud3d0\ud569 \uc6b0\uc218\uc0ac\ub840", "3210": "\uc0bc\uc131\uc5d0\uc2a4\ub514\uc544\uc774(\uc8fc)", "3211": "HCV\uc5d0 \uc624\uc5fc\ub41c \ud608\uc561\uc774\ub098 \uae30\uad6c \ub4f1", "3212": "\ubcf5\uc9c0\ubd80\uc7a5\uad00\uc0c1 \ucd5c\uc6b0\uc218", "3213": "\ub450\ud1b5, \uc5f4, \ubc1c\uc9c4, \uacb0\ub9c9\ucda9\ud608 \uace0\uc5f4, \ub450\ud1b5, \ubcf5\ud1b5", "3214": "empty", "3215": "\ud1b5\uc77c\ubd80", "3216": "\ud574\uc218, \uac2f\ubc8c, \uc5b4\ud328\ub958, \uc0ac\ub78c\uc740 \uc8fc\ub85c \uae30\ud68c \uac10\uc5fc\ub428", "3217": "empty", "3218": "\uc6b0\ub9ac \uac00\uc871 \uc8fc\uce58\uc758 \uad7f\ub2e5\ud130\uc2a4", "3219": "3\ub2e8\uacc4", "3220": "empty", "3221": "\uacbd\ucc30\uacfc \uc804\ub2f4\uacf5\ubb34\uc6d0\uc758 \uc8fc\uc694 \uc5ed\ud560 \uad6c\uccb4\ud654", "3222": "\ud504\ub791\uc2a4", "3223": "\ud55c\ud30c \ud589\ub3d9\ub9e4\ub274\uc5bc", "3224": "\u25ab\ub300\uc99d\uc694\ubc95", "3225": "empty", "3226": "\uc2a4\uc6e8\ub374", "3227": "empty", "3228": "empty", "3229": "\ud604\uc9c0 \uc0c1\ud669 \ubaa8\ub2c8\ud130\ub9c1", "3230": "\uc9c0\uc815\ucde8\uc18c", "3231": "\uc8fc\ubbfc\uc790\uc728\uad00\ub9ac \uc6d0\uce59 : \ud76c\ub9dd\ub9c8\uc744\uc8fc\ubbfc\uc6b4\uc601\ud611\uc758\ud68c \uc6b4\uc601 \ubc0f \ubbfc\uac04\uc704\ud0c1 \ub4f1", "3232": "\uba74\ubd09\ubc95", "3233": "15\uc778 \uc774\uc0c1", "3234": "90\ub9cc\uba85", "3235": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80\uc0dd\ubb3c\uc790\uc6d0\uc740\ud589\uacfc", "3236": "\uc9c0\ub3c4\uc790", "3237": "empty", "3238": "\ub18d\ucd0c\uc9c4\ud765\uccad", "3239": "empty", "3240": "\uc11c\uc6b8", "3241": "\uacbd\uc1a1\ud55c\uc758\uc6d0", "3242": "empty", "3243": "36\ucc9c\uba85", "3244": "\ubd80\ub3d9\uc0b0 \ud3ec\ud138 \ubc0f \uc784\ub300\uc911\uac1c \uc571 \ub4f1\uacfc \ub9c1\ud06c \uc5f0\uacb0", "3245": "\ucd5c\uc6b0\uc218\uc0c1", "3246": "\ub9e4\uc6d4 10\ub9cc \uc6d0", "3247": "\uc815\ubd80, \ubbfc\uac04\ubd80\ubb38, \uac00\uc785\uc790, \uc9c0\uc5ed\uc0ac\ud68c \ub4f1 \ub2e4\uc591\ud55c \uc8fc\uccb4", "3248": "83.5%", "3249": "\uc18c\ubc29\uccad", "3250": "\ub300\ud1b5\ub839\ud45c\ucc3d", "3251": "empty", "3252": "\ub300\ucd9c \uc815\ubcf4", "3253": "1\ub144\uac04", "3254": "\uc9c0\ubc29\uc790\uce58\ub2e8\uccb4", "3255": "\uc57d 30\ud68c", "3256": "\uc2dd\ud488\uc758\uc57d\ud488\uc548\uc804\ucc98", "3257": "\uc131\uade0\uad00\ub300 \uc5f0\uac1c\uc18c\ubb38", "3258": "60\uc810", "3259": "\ud1a0\uc885\uade0\uc8fc\ub97c \ud65c\uc6a9\ud55c \ub3d9\ubb3c\uc6a9 \ud504\ub85c\ubc14\uc774\uc624\ud2f1\uc2a4 \uac1c\ubc1c", "3260": "\uc778\uc81c\ub300\ud559\uad50\ubd80\uc0b0\ubc31\ubcd1\uc6d0", "3261": "\ubaa8\ub450\uc758 \ucc38\uc5ec", "3262": "\ubcf5\uc9c0\ubd80", "3263": "\uc721\uc544\ud558\ub294 \uc544\ube60\uac00 \uba4b\uc788\ub2e4", "3264": "empty", "3265": "7\uc2dc\uac04 \ucd08\uacfc", "3266": "\ud3ec\ud56d\uc0b0\uc5c5\uacfc\ud559\uc5f0\uad6c\uc6d0", "3267": "empty", "3268": "empty", "3269": "empty", "3270": "\uae30\uacc4\u0387\uae08\uc18d", "3271": "\ub18d\ucd0c\uc9c4\ud765\uccad", "3272": "\ubc29\uc1a1\ubc95", "3273": "\uc131\ub355\uc911, \uc804\ub0a8\uacf5\uc5c5\uace0", "3274": "empty", "3275": "empty", "3276": "empty", "3277": "\uae30\ub2a5\uc131 \ub179\ucc28\ucca8\uac00 \uae40\uce58", "3278": "\uc778\uac04\ub300\uc0c1\uc5f0\uad6c, \uc0dd\uba85\uc724\ub9ac \ubc0f \uc548\uc804\uc5d0 \uad00\ud55c \uc815\ucc45 \uc804\ubc18", "3279": "\uc0b0\uc5c5\uae30\uc220\uac1c\ubc1c \uc735\uc790\uae08 \uc911 \ud2b9\ud5c8\uacfc\uc81c", "3280": "\ub9cc\uae30 \ud6c4 6\uac1c\uc6d4 \uc804\uae4c\uc9c0 \uc2e0\uccad", "3281": "\uad6d\ubbfc\uc5f0\uae08 \uae30\ub2a5 \ud68c\ubcf5", "3282": "300\ub9cc\uc6d0", "3283": "\ube14\ub799\ubc15\uc2a4 \uc601\uc0c1, CCTV \uc601\uc0c1 \ub4f1 \ubaa9\uaca9 \uc601\uc0c1", "3284": "\u2218\uc2e4\uc5c5\ub300\ucc45 \ucc28\uc6d0", "3285": "empty", "3286": "\u25ab2-14\uc77c", "3287": "\ud55c \ubc8c\uc758 \uce68\uc7a5\uc138\ud2b8", "3288": "\uc815\ubcf4 \ubc0f \uacbd\ud5d8", "3289": "\uc18c\ud1b5\uacfc \uc0c1\uc0dd\uc758 \uad6c\ud3ec\uac00\ucd95\uc2dc\uc7a5 \uc815\ube44", "3290": "\ubd80\uc548\ucd08\ub4f1\ud559\uad50", "3291": "Module \u2161\ubc95\uc720\uc804\uc790\ucd1d\ub860", "3292": "\ubbf8\uad6d", "3293": "\ub3c4\uc2dc\uad00\ub9ac\uacf5\ub2e8", "3294": "200\ub9cc\uc6d0", "3295": "\uc9c4\ub8cc\ube44 \uae08\uc561\uacfc \uc0c1\uad00\uc5c6\uc774 30% \ubcf8\uc778\ubd80\ub2f4", "3296": "empty", "3297": "3\ud68c", "3298": "empty", "3299": "7\ucc9c\uba85", "3300": "empty", "3301": "20%", "3302": "\ubcf4\uac74\uc18c", "3303": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80", "3304": "\uc775\ub144 10\uc6d4", "3305": "empty", "3306": "19-19", "3307": "empty", "3308": "\uc8fc\ubbfc\uccb4\uac10\ub3c4", "3309": "\uc124\uacc4\uae30\uc900 \ubc0f \uc791\uc131 \ubc29\ubc95, \uc2b9\uc778 \ubc0f \uad00\ub9ac \uc808\ucc28 \ub4f1", "3310": "\uad50\uc721\uc778\uc801\uc790\uc6d0\ubd80, \uae30\ud68d\uc608\uc0b0\ucc98", "3311": "529\uac1c", "3312": "\ucd08\uace0\ub300\uc5ed \uc8fc\ud30c\uc218", "3313": "\uc74c\uc131\uc131\ubaa8\ubcd1\uc6d0", "3314": "\uc790\ud65c\uadfc\ub85c\uc0ac\uc5c5\ub2e8 \ucc38\uc5ec\uc790", "3315": "empty", "3316": "\uc2dc\ud050\uc544\uc774", "3317": "\ub3c4\ub85c\uad50\ud1b5\uacf5\ub2e8", "3318": "\ubd81\uc2a4\ud0c0\ud2b8, \uc7a5\ub09c\uac10\ub098\ub77c, \uc720\ucd95\uae30\u00b7\uc720\ubaa8\ucc28 \ub300\uc5ec, \uc721\uc544 \uc0dd\ud544\ud488 \ub098\ub214\ub9c8\ub2f9", "3319": "empty", "3320": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "3321": "\uacbd\ucc30\uccad", "3322": "\ubc18\uac00\uc5ed\uc801 \uce58\ub9e4", "3323": "\ubcf4\uc548\uc815\ucc45 \ud604\ud669, \ubcf4\uc548\uc81c\ud488 \uc0ac\uc6a9 \ud604\ud669, \ubcf4\uc548\uad00\ub9ac \ud604\ud669 \ub4f1", "3324": "2008. 12. 22. ~2009. 2. 28 (2\uac1c\uc6d4)", "3325": "\uc2dc\ucc28 \ucd9c\ud1f4\uadfc\uc81c", "3326": "empty", "3327": "\uc815\ubcf4\uc6b4\uc601\ud300", "3328": "\ud574\ud0b9 \ub4f1 \uc758\ub8cc\uc815\ubcf4 \uc720\ucd9c \uc6b0\ub824", "3329": "7\uc6d4", "3330": "empty", "3331": "empty", "3332": "\uc7ac\uac74\uc131\ud615", "3333": "empty", "3334": "12,430\uc6d0", "3335": "7\uc2dc\uac04 48\ubd84", "3336": "\ub204\uc6cc\uc11c \ud734\uc2dd\uc744 \ucde8\ud558\uba74 \uc2a4\uc2a4\ub85c \ud68c\ubcf5\ub429\ub2c8\ub2e4.", "3337": "\uc138\ubd80 \ud3c9\uac00\ud56d\ubaa9\u00b7\ubc30\uc810\uacfc \uc138\ubd80 \ud3c9\uac00\uae30\uc900\ub3c4 \ucd94\uac00 \uacf5\uac1c", "3338": "empty", "3339": "\uba74\uc811\uc870\uc0ac", "3340": "\uad6d\uac00\ud1b5\uacc4 \ub9c8\uc774\ud06c\ub85c\ub370\uc774\ud130 \ud1b5\ud569\uc11c\ube44\uc2a4 \uad6c\ucd95", "3341": "\uc218\uc785:24.8\uc5b5\uc6d0", "3342": "2020\ub144 \uc9c1\uc7a5\uc5b4\ub9b0\uc774\uc9d1 \uc2e4\ud0dc\uc870\uc0ac \ucd94\uc9c4\ubc29\uc548 \uac80\ud1a0\u25aa", "3343": "\uac70\ub798\uc99d\uad8c\uc0ac", "3344": "\ud55c\ub4e4\ubb38\ud654\uc13c\ud130", "3345": "2001", "3346": "empty", "3347": "\uae30 \uc554\ud658\uc790", "3348": "\ucd1d\uad04\uc801\uc778 \uc138\ubd80 \uc0ac\uc5c5 \uae30\ud68d \ubc0f \uad50\uc721\u00b7\ud589\uc815\u00b7\ud64d\ubcf4 \uc2e4\ud589", "3349": "\ud574\ub2f9\ud56d\ubaa9 \uac80\uc0ac\ub8cc \uc0ad\uac10", "3350": "2\ub144", "3351": "empty", "3352": "\ub4f1\ub85d \ubc0f1\ucc28 \uc0c1\ub2f4", "3353": "2/4\ubd84\uae30", "3354": "\ud761\uc5f0\uc608\ubc29", "3355": "\ucf54\ub125\uc2a4 \ud22c\uc790 \uacf5\ubaa8\ud380\ub4dc", "3356": "empty", "3357": "\uc5f0\uc138\ub300\ud559\uad50\uc758\uacfc\ub300\ud559\uc138\ube0c\ub780\uc2a4\ubcd1\uc6d0", "3358": "\uae08\uc735\ud68c\uc0ac", "3359": "3", "3360": "\ubc30\uc6b0\uc790\u00b7\ubbf8\uc131\ub144 \uc9c1\uacc4\ube44\uc18d", "3361": "\uc0dd\uba85\ub098\ub214", "3362": "24\uc2dc\uac04", "3363": "\ud611\ub3d9\uc870\ud569 \uc124\ub9bd", "3364": "\ub300\ub514\ud1a1", "3365": "70\ub9cc\uc6d0", "3366": "\ubaa9\ud3ec\ud55c\uad6d\ubcd1\uc6d0", "3367": "30", "3368": "\uc804\ub77c\ub0a8\ub3c4 \uc21c\ucc9c\uc758\ub8cc\uc6d0", "3369": "\uc7ac\ub2e8\ubc95\uc778\ucf00\uc774\uc5d0\uc2a4\ub514\ub098\ub214\uc7ac\ub2e8", "3370": "\uac15\uc11c\uad6c \uac00\uc591\ub3d9", "3371": "\uc218\uc6a9", "3372": "empty", "3373": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "3374": "empty", "3375": "empty", "3376": "\uccad\ub144\uc18c\uc15c\ubca4\ucc98 \ud3ec\ub7fc", "3377": "empty", "3378": "165\uac1c", "3379": "\uc138\uc885\ub300\uc655\uc0c1", "3380": "\uc11d\uc0ac\uc774\uc0c1 \uc5f0\uad6c\uc6d0", "3381": "\uac2f\ubc8c\uc0dd\ud0dc\uccb4\ud5d8", "3382": "empty", "3383": "\ub3d9\uad6c", "3384": "\uac1c\uc778\uc758 \uc2e0\uccb4\uc801\u00b7\uc815\uc2e0\uc801 \ud2b9\uc131", "3385": "empty", "3386": "\ubcf5\uc9c0\uc2dc\uc124", "3387": "\uc138\uade0", "3388": "\ub300\uc0ac\uc131\uc9c8\ud658, \ud608\uad00\uc9c8\ud658, \uc554\uc9c4\ub2e8\uc0dd\uccb4\uc870\uc9c1\uc7a5\uae30\uc7ac\uc0dd", "3389": "empty", "3390": "empty", "3391": "empty", "3392": "\ud64d\ud61c\uac78 \ubc15\uc0ac", "3393": "5\uac1c", "3394": "empty", "3395": "\uc790\uccb4\uc218\uc785 50% \uc774\uc0c1\uc9c1\uc6d0 50\uc778 \uc774\uc0c1", "3396": "8", "3397": "90\uc77c\uac04", "3398": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "3399": "33.6%", "3400": "20", "3401": "23.0%", "3402": "empty", "3403": "empty", "3404": "4-5\uc77c", "3405": "\uc804\ub0a8\ub300\ud559\uad50", "3406": "\ud1b5\uc77c\ubd80", "3407": "empty", "3408": "1\uc2e4 \uc774\uc0c1", "3409": "31\uac1c", "3410": "empty", "3411": "2.8\uc810", "3412": "\uc6d4 20\ub9cc\uc6d0", "3413": "empty", "3414": "87.8\uc810", "3415": "empty", "3416": "empty", "3417": "\ub300\uad6c\ubb38\ud654\uc608\uc220\ud68c\uad00", "3418": "10\ub144", "3419": "empty", "3420": "\uc218\ub3d9 \uac80\uc0ac", "3421": "\uce74\uc81c\uc778\ub098\ud2b8\ub968 \uc720\ud654\uc81c", "3422": "\ub2e8\uad6d\ub300\ubcd1\uc6d0", "3423": "100%", "3424": "empty", "3425": "2\ub2e8\uacc4", "3426": "\ub178\ub85c\ubc14\uc774\ub7ec\uc2a4", "3427": "\uc81c\uc8fc", "3428": "empty", "3429": "\u25aa\uc2ec\uc2e0\uc0c1\uc2e4\uc758 \uc0c1\ud0dc", "3430": "\uae08\uc735\uad8c", "3431": "26\ub9cc \uc6d0", "3432": "\ud734\uc591\uc2dc\uc124 \uc5f0\uacc4\uc0ac\uc5c5", "3433": "\uc804\ud654, \uc774\uba54\uc77c \ub610\ub294 \uc804\uc790\ubb38\uc11c\ub97c \ud1b5\ud558\uc5ec \uc2ec\uc0ac \uacb0\uacfc \ud1b5\ubcf4", "3434": "\ubd80\uc0b0\uad11\uc5ed\uc2dc\ub300\uc804\uad11\uc5ed\uc2dc \ub300\ub355\uad6c\uac00\uc2a4\uc548\uc804\uacf5\uc0ac", "3435": "\uacbd\uc81c\uc131\ud3c9\uac00 \uacfc\uc815", "3436": "\ucda9\ub0a8", "3437": "empty", "3438": "\uc9c0\uc5ed\ubb38\ud56d", "3439": "\uc219\ub828\uae30\uc220\ud65c\uc6a9", "3440": "\uad11\uc8fc", "3441": "\ub300\uad6c\uc9c0\ud558\ucca0 \ud654\uc7ac\uc0ac\uace0", "3442": "\uc9c4\ub2e8\uc11c", "3443": "\uc911\uc559\uc751\uae09\uc758\ub8cc\uc13c\ud130", "3444": "\uc138\uc885", "3445": "\uc11c\uc6b8", "3446": "10\ub144", "3447": "\ud4e8\ub9ac\uc6c0", "3448": "\uacb0\ud63c\uc900\ube44\uae30", "3449": "empty", "3450": "\uc9c0\ubc29\uc790\uce58\ub2e8\uccb4 \uacbd\uc7c1\ub825", "3451": "empty", "3452": "\ubd80\uc0b0\uad11\uc5ed\uc2dc\uccad \uad6d\uc81c\ud68c\uc758\uc7a5", "3453": "\ub300\ud55c\ubbfc\uad6d\uae30\ub85d\uad00", "3454": "\uc2b9\uc815\uc6d0 \uc77c\uae30", "3455": "empty", "3456": "empty", "3457": "\uc544\ud504\ub9ac\uce74", "3458": "\ud56b\uce20\uace0", "3459": "\uc815\ubcf4\uc790\uc6d0 \uc5f0\uacc4\u2024\uacf5\ub3d9 \ud65c\uc6a9 \ubc0f \ud1b5\ud569 \ucd09\uc9c4, \uc804\uc790\uc815\ubd80 \ud1b5\uc2e0\ub9dd \ub4f1 \uac01\uc885 \uc804\uc790\uc815\ubd80 \uae30\ubc18\uc2dc\uc124 \uac15\ud654", "3460": "\uc800\uc791\uad8c \uad8c\ub9ac \uc815\ubcf4 \uad6c\ucd95 \uacf5\uc720\uc800\uc791\ubb3c \ub514\uc9c0\ud138\ud654 \ucd94\uc9c4\uad00\ub828 \ubc95 \uc81c\ub3c4 \uac1c\uc120 \ucd94\uc9c4", "3461": "\uad6d\ub9bd\uc790\uc5f0\ud734\uc591\ub9bc\uad00\ub9ac\uc18c", "3462": "\uac74\uac15\ubcf4\ud5d8\uac00\uc785\uc790 \ubc0f \ud53c\ubd80\uc591\uc790 \uc911 \ucc28\uc0c1\uc704 \ubcf8\uc778\ubd80\ub2f4 \uacbd\uac10\ub300\uc0c1\uc790", "3463": "\uad6d\uc138\uccad", "3464": "\uc911\uc559\uacb0\ud575\uc5ed\ud559\uc870\uc0ac\ud300(\ud604 \uacb0\ud575\uc804\ubb38\uc5ed\ud559\uc870\uc0ac\ubc18) \uad6c\uc131\u2027\uc6b4\uc601", "3465": "empty", "3466": "empty", "3467": "\uc758\ub8cc\uae30\uae30 \uc81c\ud488", "3468": "\uc7a5\uad00, \uc548\uc804\uc815\ucc45\uc2e4\uc7a5, \uc0dd\ud65c\uc548\uc804\uc815\ucc45\uad00", "3469": "\uc548\ud589\ubd80", "3470": "\uc804\uad6d 35\uac1c", "3471": "empty", "3472": "empty", "3473": "empty", "3474": "5,000\ub9cc\uc6d0\uc774\ud558", "3475": "empty", "3476": "\uad6d\ubbfc\uc5f0\uae08\uae30\uae08\uc6b4\uc6a9 \ud22c\uba85\uc131 \uac15\ud654 \ubc29\uc548 \ubc0f \uad00\ub828 \uc9c0\uce68 \uac1c\uc815\uc548", "3477": "69\uac1c", "3478": "\uad6d\uac00\uae30\ub85d\uc6d0", "3479": "\ub9cc 15\uc138", "3480": "empty", "3481": "\uccad\uc18c\ub144\ubcf4\ud638\ubc95", "3482": "16\uac1c", "3483": "empty", "3484": "7,144\uba85", "3485": "empty", "3486": "empty", "3487": "\ubd84\uccad\uc0ac\uae30", "3488": "\uccad\ub144\uc644\uc8fc JUMP\ud504\ub85c\uc81d\ud2b8", "3489": "3\ub144", "3490": "empty", "3491": "\uc790\uac00\ud608\ub2f9 \uce21\uc815\uae30", "3492": "\ucf54\uc624\ub871\uc0dd\uba85\uacfc\ud559\u321c", "3493": "\uac10\uc18c", "3494": "empty", "3495": "empty", "3496": "\ub9c8\uc74c\uc5f4\uae30", "3497": "\uc9c0\uc790\uccb4\uc7a5 \ub4f1\uc804\uc6a9 \uc2b9\uc6a9\ucc28", "3498": "\u25ab7-14\uc77c", "3499": "empty", "3500": "\ud638\uc8fc\ub274\uc9c8\ub79c\ub4dc\uc740\ud589", "3501": "\ud574\ub2f9 \uae30\uad00\uc758 \ucde8\uc57d \uc9c0\ud45c\uc5d0 \ub300\ud55c \uac1c\uc120 \uacc4\ud68d \ubc0f \ubaa9\ud45c\uce58 \ub2ec\uc131 \uc5ec\ubd80", "3502": "\ud559\uad50\uc900\ube44\ud504\ub85c\uadf8\ub7a8", "3503": "\u25aaG4C\ub97c \ud1b5\ud574 \uc628\ub77c\uc778 \ubc1c\uae09", "3504": "empty", "3505": "5", "3506": "\uc804\ud654 \uc804\uc6a9\uc120", "3507": "\uae30\ub2a5\uad50\uc721", "3508": "4", "3509": "3\ud68c", "3510": "\uc7ac\uc815\ucc45\uc784\uc131", "3511": "\uc22b\uc790\ub9cc 10\uc790\ub9ac (\u2018-\u2019 \uae30\ud638 \uc81c\uc678)", "3512": "empty", "3513": "\ub2e4\uc74c,\ud504\ub9ac\ucc4c,\ucf54\ub9ac\uc544\ub2f7\ucef4", "3514": "\uc774\ub3d9\uac80\uc9c4\uc13c\ud130 \uba74\uc811\uc870\uc0ac", "3515": "\ub1cc\ud608\uad00\uc131 \uce58\ub9e4", "3516": "\ubcd1\ubb34\uccad", "3517": "\uacf5\ud1b5\ubaa8\ub4c8 \uc7ac\uc0ac\uc6a9\uc73c\ub85c \uc911\ubcf5 \uac1c\ubc1c \ubc29\uc9c0", "3518": "empty", "3519": "\uc778\ud130\ub137\uc758 \uc88b\uc740 \uc810\uacfc \ub098\uc05c \uc810 \uc774\uc57c\uae30\ud558\uae30", "3520": "empty", "3521": "\uc544\ud504\ub9ac\uce74", "3522": "2008\ub144", "3523": "\ub9d0\ub77c\ub9ac\uc544 \uc608\ubc29\uad00\ub9ac", "3524": "\uc9c0\uc2dd\uae30\ubc18 \uacbd\uc81c\uc5d0\uc11c \uc9c0\uc7ac\uad8c \uad50\uc721\ud6c8\ub828\uc758 \uc911\uc694\uc131", "3525": "\uc815\ubd80\ucd9c\uc5f0\uae08\uc758 30%", "3526": "5\uc6d4 ~ 10\uc6d4", "3527": "\ube45\ub370\uc774\ud130 \uad00\ub9ac\uccb4\uacc4(\ub370\uc774\ud130 \ud488\uc9c8 \uad00\ub9ac \ub4f1)", "3528": "\uacbd\uc0c1\ub0a8\ub3c4 \ucc3d\uc6d0\uc2dc\uccad", "3529": "\uc7ac\ub2e8\uc774\uc0ac\uc7a5, \uc9c4\ub8cc\uacfc\uc7a5, \ud589\uc815\uc2e4\uc7a5", "3530": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc \uc11c\uc6b8\uc758\ub8cc\uc6d0", "3531": "\uc544\ub3d9\uc815\uc11c\ubc1c\ub2ec\uc9c0\uc6d0", "3532": "\uc6b0\uc6b8\ud568 \ubc0f \uc678\ub85c\uc6c0, \ucda9\ub3d9\uc131, \uc6b0\uc6b8\ud568 \ubc0f \uc678\ub85c\uc6c0, \uc790\uadf9\ucd94\uad6c\uc131\ud5a5 \ub4f1", "3533": "\uc7ac\ud65c\uc11c\ube44\uc2a4 \uc678\uc758 \uc758\ub8cc \ub4f1 \ubcf4\uac74\uc11c\ube44\uc2a4\ub97c \uc81c\uacf5\ud558\ub294 \uc0b0\uc5c5 \ud65c\ub3d9", "3534": "\uc0ac\ub2f9\uacc4\uc808\ud559\uad50", "3535": "\ub9e4\ub144 1\ud68c", "3536": "empty", "3537": "empty", "3538": "empty", "3539": "2\ub144", "3540": "180\uc2dc\uac04", "3541": "empty", "3542": "empty", "3543": "\ub300\uad6c\uc138\uacc4\uc721\uc0c1\uacbd\uae30\uc120\uc218\uad8c\ub300\ud68c", "3544": "\uc5b4\ub9b0\uc774\uc9d1\uad50\uc0ac \ucf54\ud638\ud2b8", "3545": "empty", "3546": "\uacfc\ud559\uae30\uc220\ucc98 \uc0c8 \uc9d1 \ub2ec\uc544\uc8fc\uae30 \ud589\uc0ac", "3547": "1977", "3548": "\uc0ac\ud68c\ubcf5\uc9c0", "3549": "\ucc45\uc784\uc5f0\uad6c\uc6d0", "3550": "10% \ud5a5\uc0c1", "3551": "\uad6d\ubbfc\uac74\uac15\ubcf4\ud5d8", "3552": "empty", "3553": "\uc815\ub7c9\ub370\uc774\ud130 \uc0b0\ucd9c", "3554": "198\ubc31\ub9cc\uc6d0", "3555": "\uc74c\uc555\uaca9\ub9ac\ubcd1\uc2e4", "3556": "\uac74\uac15\uc18c\uae08, \uc790\uc5f0\uc591\ub150, \ucc10\ube75", "3557": "\ub9d0\uae30\ud658\uc790 \ud638\uc2a4\ud53c\uc2a4 \uc804\ubb38\uae30\uad00 \uc9c0\uc815 \ubc0f \uc608\uc0b0\uc9c0\uc6d0", "3558": "10%", "3559": "\ubb38\ud654\uc7ac\uc5ec\ud589\uc77c\uc815\uc7a1\uc774", "3560": "empty", "3561": "236\uba85", "3562": "\uad6d\ubb34\ucd1d\ub9ac\ube44\uc11c\uc2e4", "3563": "\uc0ac\uae08\uc735\ud53c\ud574\uc790", "3564": "\uc774\uc0c1\uc74c\uc6d0 \uc2e4\uc2dc\uac04 \uac10\uc9c0\ub85c \uc9c4\ud654\ud558\ub294 \uad00\uc81c\uc11c\ube44\uc2a4", "3565": "empty", "3566": "empty", "3567": "\uce5c\ud658\uacbd \ubc14\ub2e4\uc0dd\ud0dc\ub9c8\uc744", "3568": "93.2%", "3569": "\ubcf4\uc870\uae08 \ud658\uc218, \uc5b4\ub9b0\uc774\uc9d1 \uc6b4\uc601\uc815\uc9c0, \uc6d0\uc7a5 \uc790\uaca9\uc815\uc9c0 \ubc0f \uace0\ubc1c", "3570": "\ubd88\ubc95\uc870\uc5c5 \uc720\ud615 \uc608\uce21\ubaa8\ub378 \uac1c\ubc1c", "3571": "empty", "3572": "\uc0c9\ub2e4\ub978 \ucc9c\uc5f0\ube44\ub204 \ub9cc\ub4e4\uae30", "3573": "\u2018\ud589\ubcf5 \uc6b8\ud0c0\ub9ac\u2019 \ud504\ub85c\uadf8\ub7a8 \uc6b4\uc601", "3574": "\uc544\ub984\ub2e4\uc6b4 \uc774\uc6c3, \uc11c\uc6b8\ub514\ub524\ub3cc", "3575": "empty", "3576": "\ubd80\uc0b0", "3577": "\ubd84\uae30\ubcc4 \uac74\uac15\ud2b9\uac15 \ubc0f \uadf8\ub8f9 \uc6b4\ub3d9 \ud504\ub85c\uadf8\ub7a8 \uc2e4\uc2dc", "3578": "empty", "3579": "2017.11.2\uc77c", "3580": "\uad6d\ubc29\ud64d\ubcf4\uc6d0", "3581": "2\uc778", "3582": "\uc0ac\ud68c\ubcf5\uc9c0\uc2dc\uc124 \uadfc\ubb34 \uc0ac\ud68c\ubcf5\ubb34\uc694\uc6d0", "3583": "empty", "3584": "1,000\ub9cc\uc6d0", "3585": "empty", "3586": "\uae30\uc220\ud45c\uc900\uc6d0", "3587": "\ucf00\uc774\ucea1\uc815", "3588": "empty", "3589": "\ub300\uad6c\ub3c4\uc2dc\ucca0\ub3c4\uacf5\uc0ac", "3590": "empty", "3591": "\ub18d\uc5c5\uc720\uc804\uc790\uc6d0\uc13c\ud130", "3592": "\ubaa8\ubc94\uacf5\ubb34\uc6d0", "3593": "empty", "3594": "2\uba85", "3595": "\uc77c\uc790\ub9ac \ucc3d\ucd9c", "3596": "\ub3c5\uc0b0 4\ub3d9, \uc6b0\ub9ac\uc758 \uc190\uc73c\ub85c \uadf8\ub824\ub0b8 \ud589\ubcf5\uc758 \uace8\ubaa9", "3597": "\uc720\uc804\uc790\uac80\uc0ac", "3598": "empty", "3599": "\ubb38\ud654", "3600": "\uc608\uae08\ubcf4\ud5d8\uacf5\uc0ac", "3601": "\uc815\ubd80\uad00\ub9ac", "3602": "empty", "3603": "336 \uba85", "3604": "\uc804\ub0a8 \uac15\uc9c4", "3605": "\ud2b9\ubcc4\ud68c\uacc4 \uc801\uc6a9", "3606": "empty", "3607": "5\ub9cc6300\uc6d0", "3608": "\ud0a4\ud2f0", "3609": "5,300\uc5b5\uc6d0", "3610": "\uc804\uad6d\ud55c\uc6b0\ud611\ud68c", "3611": "40\ub9cc\uc6d0 20\ub9cc\uc6d0 15\ub9cc\uc6d0 10\ub9cc\uc6d0", "3612": "empty", "3613": "empty", "3614": "\uae30\ub85d", "3615": "\ubc29\uc1a1\ud1b5\uc2e0\uc704\uc6d0\ud68c", "3616": "\ubcf5\uc9c0 \uc0ac\uac01\uc9c0\ub300 \ubd84\uc11d", "3617": "\uc11c\uc6b8\uc131\ubaa8\ubcd1\uc6d0, \uac00\ucc9c\uc758\ub300\uae38\ubcd1\uc6d0", "3618": "\uc804\ub9dd\ub300 \ube44\uc0c1\uacc4\ub2e8 \ubb3c\ud488\uc801\uce58\ub85c \ube44\uc0c1\ud1b5\ub85c \ubbf8\ud655\ubcf4", "3619": "\uc0b0\ubaa8\uc758 \uac74\uac15", "3620": "9,600\ubc31\ub9cc\uc6d0", "3621": "\ud559\uad50 \ub0b4 \uae09\uc2dd\uc18c \uc810\uac80", "3622": "empty", "3623": "empty", "3624": "131,208\uc6d0", "3625": "1577-7114", "3626": "\uc2dc\uc124\ud3d0\uc1c4", "3627": "3 \ub4f1\uae09", "3628": "40\ub9cc \uc6d0 \uc9c0\uae09", "3629": "empty", "3630": "\uc561\uba74 100\uc6d0\ub2f9 \ud3ec\uc778\ud2b8", "3631": "\uc2e0\uccad\uc77c \uae30\uc900 \ub9cc60\uc138 \uc774\uc0c1", "3632": "\ud3c9\uade0 \uc0c1\ud5a5\uc6b4\uc601", "3633": "\uc5ec\uc131\ubc1c\uba85\uc778\uc0c1", "3634": "1,756,400\ub2ec\ub7ec", "3635": "empty", "3636": "empty", "3637": "\ub300\uc724\uacc4\uae30\uc0b0\uc5c5(\uc8fc)", "3638": "empty", "3639": "2002~2006 \uc678\uc0c1\uc131 \ub1cc \uc190\uc0c1\ub0b4\uc6d0, \uc785\uc6d0, \uc0ac\ub9dd \ud604\ud669", "3640": "empty", "3641": "\uc2a4\ub9c8\ud2b8\ud328\ub4dc\ub97c \ud1b5\ud558\uc5ec \ud589\uc0ac \ub2f9\uc77c \ucd2c\uc601\ub41c \uc0ac\uc9c4\uc744 \ubcf4\uc5ec\uc90c", "3642": "1\ud68c", "3643": "\ud55c\uad6d\ubd88\uad50\ud0dc\uace0\uc885, \uc5f4\ub9b0\uc120\uc6d0", "3644": "\uc21c\ucc9c\uc0dd\ud0dc\uc2b5\uc9c0", "3645": "\uc804\uc8fc\uc2dc", "3646": "empty", "3647": "4\ucc28 \uc0b0\uc5c5\ud601\uba85 \uc2dc\ub300\uc758 \uc0dd\uba85\uc724\ub9ac \uc815\ucc45\ubc29\ud5a5\uacfc \ub300\uc751\uc804\ub7b5", "3648": "\uc815\ub3c4\uad00\ub9ac\ub300\uc7a5 \uc0ac\ubcf8 1\ubd80", "3649": "\uac74\uac15\uad00\ub9ac", "3650": "empty", "3651": "2014. 11. 8(\ud1a0) 16:00~18:00 (120\ubd84)", "3652": "\ud575\uc2ec\uc778\ub825 \uc778\ud130\ubdf0", "3653": "empty", "3654": "60", "3655": "6\ub4f1\uae09", "3656": "100\uc5b5\uc6d0", "3657": "\uc81c\ud488\uc744 \ud6a8\uc728\uc801\uc73c\ub85c \uc591\uc0b0\ud558\uae30 \uc704\ud574 \uae30\uc874\uc758 \uae30\uc220\uc744 \uac1c\uc120\ud558\ub294 \ud2b9\ud5c8", "3658": "\ucd5c\ub300 3\uc778\uae4c\uc9c0 \uad50\uc0ac \uc778\uac74\ube44 100% \uc9c0\uc6d0", "3659": "\uc7a5\uc560\uc544 \ub3cc\ubd04\uc11c\ube44\uc2a4", "3660": "empty", "3661": "empty", "3662": "\ucc28\ub7c9 \ud558\ubd80 \ucf54\ud305 \uc870\uc131\ubb3c", "3663": "\ud589\uc815\uae30\uad00 \ubc0f \uacf5\uacf5\uae30\uad00 \uc815\ubcf4\uc2dc\uc2a4\ud15c\uad6c\ucd95\u00b7\uc6b4\uc601\uc9c0\uce68", "3664": "\uae30\uc900\ubcf4\ub2e4 \ucca0\uc800\ud558\uac8c \ucf54\ub85c\ub09819 \uc120\uc81c\uc801 \ubc29\uc5ed\uccb4\uacc4 \uad6c\ucd95 \ub300\uc751", "3665": "13.2%", "3666": "\ub208\uc313\uc778 \ub300\uad00\ub839 \ub3c4\ub85c", "3667": "empty", "3668": "\uc804 \uc885\ubaa9", "3669": "empty", "3670": "30\ub9cc\uc6d0 \uff5e 40\ub9cc\uc6d0", "3671": "5,400\ub9cc \uc6d0", "3672": "\uc804\ub144\ub3c4 \ud655\uc815\uc18c\ub4dd \uc804\ub144\ub3c4 \uc7ac\uc0b0", "3673": "\uce74\ub4dc\ud3ec\uc778\ud2b8 \ud604\uae08\ud654 \uc11c\ube44\uc2a4, \uad6d\ubbfc\uc758 \uc7ac\uc0b0\uad8c \ud589\uc0ac\uc5d0 \uc774\ubc14\uc9c0\ud558\ub2e4", "3674": "empty", "3675": "\ucc98\ubc29\uc9c0\uc18d\uad70 \ube44\uc728", "3676": "empty", "3677": "\ud56d\ubc14\uc774\ub7ec\uc2a4\uc81c\uc81c \ud22c\uc5ec\uac1c\uc778\uc704\uc0dd\uc218\uce59 \uad50\uc721\uac1c\uc778\ubcf4\ud638\uad6c \ucc29\uc6a9", "3678": "\u2023\uc18c\uc18d \ud559\uad50 \ud559\uad50\uc7a5 \ucd94\ucc9c", "3679": "empty", "3680": "empty", "3681": "empty", "3682": "\uacfc\uc624\ub0a9\uae08 \uc804\ud654\uccad\uad6c \uac00\ub2a5 \uae08\uc561 \uc0c1\ud5a5 \uc870\uc815", "3683": "empty", "3684": "\u318d\ub300\ud559\uad50, \uc5f0\uad6c\uae30\uad00\uc5d0 \uc18c\uc18d\ub41c \uac1c\uc778 \ub610\ub294 \ud300", "3685": "\ubca8\uae30\uc5d0", "3686": "2\uc8fc", "3687": "\uc911\uc559\uc18c\ubc29\ud559\uad50", "3688": "\ubbf8\uad6d", "3689": "\uc0b4\ucda9\uc81c", "3690": "20\uc138 \uc774\uc0c1", "3691": "550\uc5ec\uba85", "3692": "2019. 4", "3693": "\ub0a8\uc591\uc8fc\uc2dc, \uace0\ucc3d\uad70", "3694": "\ub18d\ub9bc\ucd95\uc0b0 \uac80\uc5ed\ubcf8\ubd80", "3695": "3", "3696": "\uc6d412\ub9cc\uc6d0", "3697": "\ube44\uc0ac\uc5c5\uc6a9 \uc790\ub3d9\ucc28 \ud0c0\uc0ac\uad11\uace0 \ud5c8\uc6a9", "3698": "\uc131\uccb4\uc904\uae30\uc138\ud3ec \uae30\uc220", "3699": "\uc601\uc0c1/\ucd09\uac01 \ub610\ub294 \uc900\uc0dd\uccb4\uc815\ubcf4", "3700": "12\uac1c\uc6d4", "3701": "empty", "3702": "\ud55c\ubc29\ud1b5\uc99d, \ub09c\uc784, \ubbf8\uc6a9\uce58\ub8cc", "3703": "empty", "3704": "empty", "3705": "\uad50\ubcf4\uc0dd\uba85\ubcf4\ud5d8(\uc8fc)", "3706": "\uacbd\uc81c\ubd80\ucd1d\ub9ac", "3707": "\ubbf8\ub798\uc5f0\uae08\uc13c\ud130(\uc5f0\uae08\uc11c\ube44\uc2a4\uccad) \uc2a4\uc6e8\ub374 \ubcf4\ud5d8\ud611\ud68c \uc790\ud68c\uc0ac", "3708": "6.25\uc804\uc7c1\uc758 \uae30\ub85d", "3709": "\uc778\ud130\ub137, \uc2a4\ub9c8\ud2b8\ud3f0 \uac15\ud654\ub41c \uc131\uc778\uc778\uc99d \uc81c\ub3c4 \ub3c4\uc785", "3710": "\uc81c6\ud68c \ud604\ucda9\uc77c \uae30\ub150 \uc6b0\ud45c", "3711": "\uad11\uc8fc\uc778\uad8c\uc0ac\ubb34\uc18c\uc81c\uc8fc\uc778\uad8c\ud300", "3712": "6.28(\uae08) 18:00", "3713": "109\uac74", "3714": "1\ub9cc50\uc6d0", "3715": "empty", "3716": "\ud734\uc9c0 \uad74\ub9ac\uae30", "3717": "10\uc5b5\uc6d0~50\uc5b5\uc6d0", "3718": "\uc8fc\uc2dd\ud68c\uc0ac \uc0bc\uc548", "3719": "empty", "3720": "\ud608\uc555\uac15\ud558\uc81c", "3721": "\ub465\uc9c0 \ub0b4\ubab0\ub9ac\ub294 \uc790\uc601\uc5c5\uc790\ub4e4\uc758 \uafc8\uc744 \uc9c0\ucf1c\uc8fc\ub2e4! \uff62\uc131\ub3d9\uc548\uc2ec\uc0c1\uac00\uff63", "3722": "708\uba85", "3723": "\ud504\ub808\uc784\uc6cc\ud06c", "3724": "5\uac1c\uad6d", "3725": "\uc6b4\uc601\uad00\ub9ac", "3726": "\uc778\ud130\ub137\uc744 \ub118\uc5b4 \uac00\uc871, \ub137\uc73c\ub85c\u201d(\uc778\ud130\ub137\uc911\ub3c5 \uac00\uc871\uce58\ub8cc \ucea0\ud504)", "3727": "\uace0\ub824\ub300\ubcd1\uc6d0", "3728": "\uc740\ud558\uc218", "3729": "10,000\uba85", "3730": "empty", "3731": "empty", "3732": "37\ub9cc \uba85", "3733": "10:00", "3734": "\ud655\ub300\ud33d\ucc3d\ud558\uba74\uc11c \uc790\ub78c", "3735": "\uc758\ub8cc\uc7a5\ube44", "3736": "empty", "3737": "empty", "3738": "\uc0c8 \ud76c\ub9dd\uc5d0 \uc6a9\uae30\ub97c \uc5bb\ub2e4", "3739": "empty", "3740": "\uc608\uc220\uc758\uc804\ub2f9\ub2ec\ub9de\uc774\uadf9\uc7a5", "3741": "8.15.(\ud654)10:00", "3742": "empty", "3743": "\ud604\uae08", "3744": "2~4%", "3745": "\uc8fc\uc2dd", "3746": "\uc544\uc774\ub450\uc820", "3747": "PG\u793e\uac00 \uc81c\uacf5\ud558\ub294 \uc6f9 \ud45c\uc900 \uc194\ub8e8\uc158 \uc801\uc6a9", "3748": "empty", "3749": "empty", "3750": "1\uba85", "3751": "\uc81c\uc8fc\ud559\uc0dd\ubb38\ud654\uc6d0", "3752": "\ud504\ub808\uc784\uc6cc\ud06c \ubb34\uc0c1\uc81c\uacf5", "3753": "\ud2b9\ud5c8\uccad\uc7a5", "3754": "2007.5\uc6d4", "3755": "1,500\uba85", "3756": "empty", "3757": "\uc774\ub9c8\ud2b824", "3758": "50\uba85", "3759": "empty", "3760": "\uc8fc\ucf54\ud2b8\ub514\ubd80\uc544\ub974", "3761": "\uc138\ud3ec\ucc98\ub9ac\uc2dc\uc124\uc758 \uc774\ud574", "3762": "\uc804\ub0a8", "3763": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uc7a5\uad00", "3764": "empty", "3765": "empty", "3766": "\ud30c\uc77c \ub2e4\uc6b4\ub85c\ub4dc \uac00\ub2a5", "3767": "\uacbd\uc0c1\ub0a8\ub3c4", "3768": "empty", "3769": "95%", "3770": "\uc7ac\ub8cc\ube44", "3771": "70%", "3772": "empty", "3773": "75\uc138 \uc774\uc0c1\uac74\uac15\ubcf4\ud5d8 \uc9c0\uc6d0", "3774": "empty", "3775": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\ud5d8\uc57d\uc81c\uacfc", "3776": "\ubcf4\uad00\uc2dc\uc124\uc758 \ub9c8\ub828", "3777": "\u25ab6-14\uc77c", "3778": "\uc77c\ubd80 \ubcf8\uc778\ubd80\ub2f4", "3779": "empty", "3780": "ETRI", "3781": "empty", "3782": "empty", "3783": "\ubbf8\uc580\ub9c8\uace0\uc704\uacf5\ubb34\uc6d0 \ud589\uc815\ubc1c\uc804\uacfc\uc815", "3784": "\uc81c\ub3c4\uc815\ube44", "3785": "\uc628\ub77c\uc778 \ud3c9\uac00", "3786": "3\uac1c\uc18c", "3787": "\ucd1d 12,964 \uac00\uad6c", "3788": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uc0ac\ud68c\uc11c\ube44\uc2a4\uc815\ucc45\uacfc", "3789": "\uc7a5\uc560\uc544, \ud76c\uadc0\ub09c\uce58\uc131\uc9c8\ud658, \uc30d\uc0dd\uc544 \ub4f1", "3790": "\ubcf4\uc720\uc9c0\ubd84\uc728\uc774 100\ubd84\uc758 1 \ubbf8\ub9cc\uc778 \uacbd\uc6b0", "3791": "empty", "3792": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uc721\uc0ac\uc5c5\uae30\ud68d\uacfc", "3793": "\u25e6\ubd80\ucc9c\uc2dc\uccad", "3794": "empty", "3795": "\uc218\uc2dc \ud3c9\uac00", "3796": "empty", "3797": "\uc544\uc774\uc990\uac70\uc6b4\uce74\ub4dc", "3798": "\uad00\ud560\uc18c\ubc29\uc11c\uc5d0 \uc804\ud654 \ub4f1 \ud574\uc784\uc758\uc0ac\ud45c\uc2dc\ub9cc\uc73c\ub85c\ub3c4 \ucc98\ub9ac \uac00\ub2a5\ud1a0\ub85d \uac1c\uc120", "3799": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uac74\uc758\ub8cc\uc815\ucc45\uacfc", "3800": "\uc11c\ube44\uc2a4 \uc81c\uacf5\uae30\uad00\uc744 \ud655\ub300(230\uac1c\uc18c) \ud558\uc5ec \uc2dc\uac04\uc81c\ubcf4\uc721 \uc11c\ube44\uc2a4 \uc811\uadfc\uc131 \uac15\ud654", "3801": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\ubcf4\uc721\uc0ac\uc5c5\uae30\ud68d\uacfc", "3802": "\ub450\ub8e8\ub204\ub9ac \ubcf4\ud5d8\ub8cc", "3803": "\ubd88\uacf5\uc815 \ud589\uc704\uc5d0 \ub300\ud55c \uc608\ubc29\uae30\ub2a5 \uac15\ud654", "3804": "\uc5ec\uc2e0\uad00\ub9ac\uc5c5\ubb34\uc2dc\ud589\uc138\uce59", "3805": "\uc54c\ud39c\uc2dc\uc544\ub9ac\uc870\ud2b8", "3806": "\uc870\ub958\uc778\ud50c\ub8e8\uc5d4\uc790", "3807": "\ubcf4\uac74\ubcf5\uc9c0\ubd80\uae30\ucd08\uc5f0\uae08\uacfc", "3808": "\ub9ac\ubcf8(Re:born)\ubb38\ud654\uc81c", "3809": "23.08%", "3810": "empty", "3811": "12", "3812": "empty", "3813": "\uc548\uc2ec \uc0ac\ud68c\uc801\uacbd\uc81c \ube4c\ub9ac\uc9c0", "3814": "\ucd5c\ubbf8\uc601", "3815": "empty", "3816": "100%", "3817": "empty", "3818": "\uc6d4 1,950\ub9cc \uc6d0", "3819": "\uad6d\ud1a0\uad50\ud1b5\ubd80", "3820": "\uc758\uc57d\ud488 \uc778\ud5c8\uac00 \uacfc\uc815", "3821": "\uc218\uc775\ucc3d\ucd9c \ub2a5\ub825 \uc81c\uace0", "3822": "empty", "3823": "empty", "3824": "\ud654\ucc9c \ud48d\uc0b0\ub9c8\uc744", "3825": "\uae30\ud6c4\ub300\uae30\uc815\ucc45\uad00", "3826": "10\u223c20%", "3827": "\uc5f0\uad6c\uac1c\ubc1c \ud65c\ub3d9\uc758 \ud601\uc2e0\uc131", "3828": "empty", "3829": "\uad00\uc911\uc548\ub0b4", "3830": "\ubd80\uc2a4\uc124\uce58", "3831": "empty", "3832": "\ub300\ud1b5\ub839\uc0c1", "3833": "empty", "3834": "\ub300\ud559\uc778\uac00\ud655\uc778\uc11c, \ud3c9\uc0dd\ud559\uc2b5\uc774\ub825\uc99d\uba85\uc11c \ubc1c\uae09 \ub4f1", "3835": "83.9", "3836": "\uacf5\uc778 \uc778\uc99d\uc11c \ube44\ubc00\ubc88\ud638 \ub300\uccb4 \uc11c\ube44\uc2a4", "3837": "\uacbd\uc0c1\ub0a8\ub3c4", "3838": "TP", "3839": "\uc804\ud1b5\uc2dc\uc7a5 \uc9c0\ub2a5\ud615 \ud654\uc7ac\uac10\uc2dc\uc2dc\uc2a4\ud15c", "3840": "empty", "3841": "16\uba85", "3842": "empty", "3843": "\ud1a0\uace0\ubbf8\ub9c8\uc744", "3844": "14\uc77c", "3845": "300\ub9cc\uc6d0 \uc774\ub0b4", "3846": "empty", "3847": "\ud658\uc790\ud608\uc561\uad00\ub9ac", "3848": "\uc790\ub9bd\uc9c0\uc6d0\ud615", "3849": "\uc774\ubc14\uad6c\ub9c8\uc744", "3850": "61.4%", "3851": "empty", "3852": "\uc218\ub2e4\ub9c8\ub8e8", "3853": "empty", "3854": "16", "3855": "empty", "3856": "\uacf5\ubb34\uc6d0\uc5f0\uae08", "3857": "87\ub9cc\uc6d0", "3858": "\ub9e4\uc77c \ucc59\uae30\ub294 \uc6b0\ub9ac \uc9d1 \uc8fc\uce58\uc758! \uc57d\ubb3c \uc21c\uc751\ub3c4 \uac1c\uc120 \uc0ac\uc5c5", "3859": "\u25ab\ud3c9\uade0 8-10\uc77c", "3860": "\uacbd\ubd81\ub300\ubcd1\uc6d0", "3861": "empty", "3862": "\ud798\ucc28\uac8c \uc7a1\ub294 \uc190\uc5d0 \uc54c\ucc2c \ub0b4\uc77c\uc774", "3863": "\ubbf8\uad6d", "3864": "empty", "3865": "\ub9c8\uc0ac\uc9c0", "3866": "14,000\uba85", "3867": "SPC\uad6c\uc870", "3868": "\uae30\ub85d\uae30", "3869": "\uac74\uac15\ubcf4\ud5d8\uc2ec\uc0ac\ud3c9\uac00\uc6d0", "3870": "empty", "3871": "\ud601\uc2e0\uc2e0\uc57d", "3872": "\ud544\uae30", "3873": "\uac74\uac15\ubcf4\ud5d8\uae09\uc5ec\ubaa9\ub85d \uac1c\ubc1c \ub4f1", "3874": "\uc5f0\uad6c\uc778\ub825 \ud604\ud669", "3875": "empty", "3876": "\uad6d\ub9bd\uacfc\ud559\uc218\uc0ac\uc5f0\uad6c\uc6d0", "3877": "5\uac74", "3878": "\ud55c\ubbf8\uc57d\ud488", "3879": "\uacf5\uc775\ud615 \uad50\uc721\ud615 \ubcf5\uc9c0\ud615", "3880": "*** \ubd80\uc7a5", "3881": "empty", "3882": "\uacf5\ud1b5\ubaa8\ub4c8", "3883": "\uad00\ub9ac, \uac10\ub3c5, \uc218\ud589\uad50\uc721", "3884": "\ud1b5\uacc4", "3885": "7\ub9cc\uc6d0", "3886": "1\u223c4\uc77c", "3887": "\uc815\uc11c\uc801 \uc7a5\uc560 \uc0ac\ud68c\uc131 \uc800\ud558", "3888": "empty", "3889": "\ud55c\uad6d", "3890": "\uac15\ud654\uad70", "3891": "\ubbfc\uac04\uc11c\ube44\uc2a4 \ud65c\uc6a9\ud615", "3892": "105", "3893": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "3894": "500\uc778", "3895": "empty", "3896": "\ubcf4\uac74\uc18c", "3897": "\uc11c\uc6b8 \uc2dc\uccad\uc5ed \uc9c0\ud558\ubcf4\ub3c4", "3898": "\ud611\ub3d9\uc870\ud569\uae30\ubcf8\ubc95", "3899": "\uc5f01\ud68c \uc77c\uad04\uc9c0\uae09", "3900": "400,000\uc6d0", "3901": "\ubd81\uad6c", "3902": "empty", "3903": "2015.12.29.", "3904": "\u25ab\ub9c8\ubc84\uadf8\ubc14\uc774\ub7ec\uc2a4", "3905": "61,252\uba85", "3906": "empty", "3907": "empty", "3908": "empty", "3909": "\uc6b8\uc0b0\ub300\ud559\uad50\ubcd1\uc6d0", "3910": "\uc5f0\uc911\uc218\uc2dc", "3911": "\ucc45\ub9c8\ub8e8XT", "3912": "\ud2b9\ubcc4\uc0c1", "3913": "\uc0c8\ub9c8\uc744\uc6b4\ub3d9\uc911\uc559\ud68c", "3914": "24\uc2dc\uac04", "3915": "\uc2e0\ud55c", "3916": "empty", "3917": "\uae08\uc0c1 \uc218\uc0c1\ud300 \uc9c0\ub3c4\uad50\uc0ac", "3918": "empty", "3919": "\ubcd1\ubb34\uccad \ud574\uc591\uacbd\ucc30\uccad \uc815\ubcf4\ud1b5\uc2e0\ubd80 \ub300\ud1b5\ub839\ube44\uc11c\uc2e4 \uad00\uc138\uccad", "3920": "\uc774\ud654\uc5ec\uc790\ub300\ud559\uad50 \uc785\uad6c \ub300\ud604\uacf5\uc6d0", "3921": "\ubcf4\uac74\ubcf5\uc9c0\uac00\uc871\ubd80", "3922": "\uac74\uac15\ubcf4\ud5d8\ub8cc \uc21c\uc704", "3923": "\uc2a4\ud14c\ub85c\uc774\ub4dc\uc81c", "3924": "\ub4dc\ub860", "3925": "\uc778\uc1c4\ubb3c \uad6c\ub9e4 \uac00\uc810", "3926": "8%", "3927": "\ud55c\uc601\ud55c\ub9c8\uc74c\uc544\ub3d9\ubcd1\uc6d0", "3928": "empty", "3929": "5\uba85", "3930": "\uc804\ud1b5\ub180\uc774", "3931": "39\ub9cc7000\uc6d0", "3932": "\uc911\uc18c\ud615\ubcd1\uc6d0", "3933": "53,000\uba85", "3934": "49\uc778", "3935": "\uad6d\ubbfc\uc5f0\uae08\uacf5\ub2e8", "3936": "8,000\uba85", "3937": "4\uc778", "3938": "empty", "3939": "72\ud559\uc810", "3940": "\uc751\uae09\uc2e4 \uc804\ub2f4\uc758\uc0ac 2\uba85 \ub610\ub294 1\uba85\uc774\uc0c1", "3941": "\uc0dd\uba85\uc724\ub9ac\uc774\uc288\uc5f0\uad6c\uc5d0 \uad00\ud55c \ub300\ud1b5\ub839\uc704\uc6d0\ud68c", "3942": "\ubd04\ub0a0\uc758 \uc5ec\ud589\ub3c4 \uc815\ubd8024", "3943": "empty", "3944": "13", "3945": "empty", "3946": "\uad11\uc8fc \uae30\uc544\uc790\ub3d9\ucc28, \ud604\ub300\uc81c\ucca0, \uc218\uc6d0 \uc0bc\uc131\uc804\uc790 \ub4f1", "3947": "empty", "3948": "\uce58\uacfc\uc758\uc6d0 \ud55c\uc758\uc6d0 \uc57d\uad6d", "3949": "\uacbd\uc0c1\ubd81\ub3c4 \ubcf4\uac74\ud658\uacbd\uc0b0\ub9bc\uad6d\uc7a5", "3950": "500\uc5b5 \uc6d0", "3951": "empty", "3952": "\u25e6\uacf5\uae30\uc5c5", "3953": "empty", "3954": "\uad50\uc721\ubd80", "3955": "\uc5f0\ud558\uadfc \ub9c8\ube44, \ubb3c\uc5d0 \ub300\ud55c \uacf5\ud3ec, \ud638\ud761\uadfc \uacbd\ub828, \uc804\uc2e0\uacbd\ub828, \uc0ac\ub9dd", "3956": "\ud589\uc548\ubd80", "3957": "empty", "3958": "\uc911\uc704 \uae30\uc900\uc18c\ub4dd 150%\uc774\ud558 \uc911 \ubbf8\ucde8\uc5c5\uae30\uac04, \ubd80\uc591\uc790 \uace0\ub824", "3959": "16\uba85", "3960": "\ubaa8\ub4e0 \uad6d\ubbfc", "3961": "empty", "3962": "\ud655\uc9c4 5\uba85", "3963": "1", "3964": "empty", "3965": "\ub098\uc8fc, \uc601\uc554, \uac15\ub0a8\uad6c", "3966": "empty", "3967": "\uad6d\uac00\ud2b8\ub77c\uc6b0\ub9c8\uc13c\ud130 \uc124\uce58 \uc6b4\uc601 \uadfc\uac70 \ub9c8\ub828", "3968": "\uc804\uccb4 \uad6d\ubbfc", "3969": "1\uba74\uc774\uc0c1 \uc124\uce58", "3970": "\uc5b4\ub9b0\uc774\uc9d1 \uc815\ubcf4\uacf5\uac1c \ub204\ub9ac\uc9d1", "3971": "empty", "3972": "empty", "3973": "20\uba85 \uc774\ub0b4", "3974": "\uc9c4\ub8cc\ube44 \ucd1d\uc561\uc758 20%", "3975": "50\ub9cc\uc6d0", "3976": "empty", "3977": "empty", "3978": "\ub3d9\uc544\ub300\ud559\uad50\ubcd1\uc6d0", "3979": "empty", "3980": "\uc804\ubd81 \uc775\uc0b0\uc2dc \ub18d\uc5c5\uae30\uc220\uc13c\ud130", "3981": "empty", "3982": "\u2018\ub2ec\ube5b\uc5b4\ub9b0\uc774\ubcd1\uc6d0\u2019 6\uac1c\uc18c \uc2e0\uaddc \uc9c0\uc815", "3983": "\uc624\ud508API\ub300\uc6a9\ub7c9\ud30c\uc77c", "3984": "116\uc5b5\uc720\ub85c", "3985": "\uacf5\uc6d0, \uc804\uc2dc\u00b7\uacf5\uc5f0\uc7a5 \u27a2\uc57c\uc678\uc6a9 \ud14c\uc774\ube14, \ubca4\uce58", "3986": "empty", "3987": "empty", "3988": "\uba54\uc774\ucee4\uc2a4\ud398\uc774\uc2a4", "3989": "1,500\uc720\ub85c(\uc57d 190\ub9cc\uc6d0) \uc774\ud558 \uc9c8\uc11c\uc704\ubc18\uae08", "3990": "\uc18d\uae30, \uc0ac\uc11c", "3991": "empty", "3992": "\uc804\ub0a8\ub300\ubcd1\uc6d0", "3993": "\uc5f0\uc138\uc758\ub8cc\uc6d0", "3994": "empty", "3995": "1,000\uc6d0", "3996": "\uacf5\uacf5\ubcf4\uac74\uc758\ub8cc\uad50\uc721\ud6c8\ub828\uc13c\ud130", "3997": "empty", "3998": "empty", "3999": "\ud589\uc815\uc548\uc804\ubd80", "4000": "\ubcf4\uac74\uc18c\ub2f4\ub2f9\uc790", "4001": "2013\ub144", "4002": "2015\ub144\ub3c4 \uad6d\ubbfc\uc5f0\uae08\uae30\uae08\uc6b4\uc6a9\uacc4\ud68d \ubcc0\uacbd(\uc548)", "4003": "\uc548\uc804\ud558\uace0 \ub530\ub73b\ud55c \uc0ac\ud68c \uad6c\ud604", "4004": "empty", "4005": "114\ud56d\ubaa9", "4006": "\uc704\ubc18 \uc9c0\ucd9c \uae08\uc561 \uc774\ub0b4", "4007": "empty", "4008": "empty", "4009": "\ud658\uacbd\ubd80", "4010": "\ub9cc\uc131\uc9c8\ud658 \uc720\ubcd1\ub960", "4011": "\ub9e4\uc77c \uc2ec\ud3c9\uc6d0 \uc6f9\uc11c\ubc84\uc5d0 \uc811\uc18d", "4012": "NHS Improvement", "4013": "empty", "4014": "\ub300\uc0c1\uc790 \ubaa8\uc9d1", "4015": "\ud589\uc548\ubd80", "4016": "\ud574\uc678\uc0ac\uc2a4 \ucd9c\ud604\uc2dc", "4017": "\ucd5c\uc6b0\uc218", "4018": "\uc2e0\uccad \ubc0f \ub300\uc0c1\uc790 \uc120\uc815", "4019": "\uccb4\ud5d8\ud615 \uad50\uc721 \uc2e4\uc2dc", "4020": "empty", "4021": "empty", "4022": "\uc0ac\ud6c4\uad00\ub9ac", "4023": "\uad6d\ub9bd\uc554\uc13c\ud130", "4024": "\uad6d\ub9bd\uad6d\uc81c\uad50\uc721\uc6d0 \ud55c\uad6d\ub18d\uc218\uc0b0\ub300\ud559", "4025": "\uc0ac\ubb34\uad6d", "4026": "\uad6d\uc81c\uc9c0\uc2dd\uc7ac\uc0b0\uc5f0\uc218\uc6d0", "4027": "\uc0ac\ub78c", "4028": "\uc6d4 1000\ub9cc \uc6d0", "4029": "\uacbd\uae30\uc218\uc6d0", "4030": "\uc778\ud504\ub77c \uc218\uc900", "4031": "4\ucc28 \uc0b0\uc5c5\ud601\uba85 \ubc0f \ubbf8\ub798 \uc758\ub8cc\ud658\uacbd \uc120\ub3c4", "4032": "14 16", "4033": "17\uac1c", "4034": "\uc2dc\ub9bd\ub9c8\ud3ec\ub178\uc778\uc885\ud569\ubcf5\uc9c0\uad00", "4035": "\ud589\uc815\uc548\uc804\ubd80", "4036": "empty", "4037": "20\uc810", "4038": "41\uba85", "4039": "2013.8.5.", "4040": "\uac70\ub9ac\ud658\uacbd\uc9c0\ud0b4\uc774, \uae09\uc2dd\ub3c4\uc6b0\ubbf8", "4041": "empty", "4042": "86.9", "4043": "88.6\uc870\uc6d0", "4044": "empty", "4045": "empty", "4046": "empty", "4047": "empty", "4048": "\uc778\ucc9c", "4049": "7.9%", "4050": "\ub3d9\uc544\uc5d0\uc2a4\ud2f0\u321c", "4051": "\ub300\uc804", "4052": "empty", "4053": "\ub2f9\ub1e8\ubcd1", "4054": "\ubcf4\uc721\uae30\ucd08", "4055": "70.9%", "4056": "50\uba85", "4057": "\uc0ac\ub9dd\uc77c\uc758 \ub2e4\uc74c\ub0a0", "4058": "\uc2a4\uc704\uc2a4", "4059": "empty", "4060": "1", "4061": "empty", "4062": "empty", "4063": "empty", "4064": "\uc911\uace0\ub839\uce35\uc758 \uacbd\uc81c\ud65c\ub3d9", "4065": "\uc0ac\ub840(FAQ)\ubcf4\uc644 - \uc751\uae09\uc2e4 \uc601\uc0c1\uc815\ubcf4\ucc98\ub9ac\uae30\uae30 \uc124\uce58", "4066": "\ub9cc 15-18\uc138", "4067": "5\ubd84", "4068": "empty", "4069": "2\uba85", "4070": "3\uac1c\uc6d4", "4071": "8,965\uac74", "4072": "empty", "4073": "empty", "4074": "\uacf5\uc720\uc218\uba74\ud5c8\uac00", "4075": "empty", "4076": "\uc778\ub825\ud30c\uacac\ud615 - \uc9c0\uc5ed\uc0ac\ud68c\ub0b4 \uc218\uc694\ucc98", "4077": "\uc548\ucabd", "4078": "empty", "4079": "\uc57d 7\uc5b5\uc6d0", "4080": "\uc911\uc18c\uae30\uc5c5\uc9c4\ud765\uacf5\ub2e8", "4081": "\ud654\uc21c\uad70, \ud574\ub0a8\uad70", "4082": "\uac15\ubd81\uad6c", "4083": "\uc300, \uc591\ud30c, \ub9c8\ub298, \uace0\ucda7\uac00\ub8e8, \ubc00\uac00\ub8e8, \ub450\ubd80, \uc2dd\uc6a9\uc720, \ud718\ubc1c\uc720, \uacbd\uc720, \ub4f1\uc720", "4084": "43.6\ud0ac\ub85c\uadf8\ub7a8", "4085": "24\uff0d72\uc2dc\uac04", "4086": "\uad00\uc138\uccad", "4087": "empty", "4088": "19\uba85", "4089": "\uad6d\uac00\uc554\uad00\ub9ac\uc704\uc6d0\ud68c", "4090": "\uc0ac\uc5c5\uc758 \ud65c\uc131\ud654", "4091": "2\ub144", "4092": "\ub9cc 40\uc138\uc774\uc0c1 \ub0a8\ub140", "4093": "\uad50\uc721\ud615", "4094": "84\uac74", "4095": "2\uc778", "4096": "\uc2a4\uc704\uc2a4 \uc81c\ub124\ubc14", "4097": "\ud574\ub2f9 \uc790\uce58\ub2e8\uccb4 \uacf5\ubb34\uc6d0", "4098": "\uae30\uc7ac\ubc29\uc2dd \u2192 \uc785\ub825\ud56d\ubaa9 \uae30\uc7ac\ub204\ub77d \ubc0f \uc785\ub825\ubd88\ud3b8", "4099": "\ud604\ub300\uc5f0\ud569\uc758\uc6d0", "4100": "\uc11c\uc6b8\uc2dc\uc124\uad00\ub9ac\uacf5\ub2e8", "4101": "empty", "4102": "\ud55c\uad6d", "4103": "\uc18c\ub85d\ub3c4\uc790\ud61c\uc758\uc6d0\uc758 \uc124\ub9bd\uacfc \uc6b4\uc601", "4104": "empty", "4105": "80.1%", "4106": "empty", "4107": "\uc790\uaca9\uc870\uac74", "4108": "empty", "4109": "empty", "4110": "30\uc77c", "4111": "\ub9e4\uc6b0 \ub192\uc74c", "4112": "\uc2a4\ud398\uc778", "4113": "50\uc5ec\uc810", "4114": "\uc800\uc18c\ub4dd\uce35 \uae30\uc800\uadc0\u2024\uc870\uc81c\ubd84\uc720 \uc9c0\uc6d0", "4115": "\uc870\ub840\uc81c12\uc870 (\uac1c\uc815)", "4116": "3\uba85", "4117": "\u25b8(\ub9de\ucda4\ud615\uc11c\ube44\uc2a4) \uad50\ud1b5\uc704\ubc18 \uacfc\ud0dc\ub8cc \ud1b5\ud569 \ubbfc\uc6d0 \uc11c\ube44\uc2a4", "4118": "empty", "4119": "\ubbfc\uac04\uae30\uad00", "4120": "\uace0\ub824\ub300\ud559\uad50 \ubc15\ubb3c\uad00", "4121": "\uae30\ub85d\uc815\ucc45 \ubc0f \ud589\uc0ac \ub4f1 \uc8fc\uc694 \ubcf4\ub3c4\uc790\ub8cc\ub97c \ub274\uc2a4 \ub9ac\ud3ec\ud2b8 \ud615\uc2dd\uc73c\ub85c \uc81c\uc791", "4122": "\ud504\ub791\uc2a4", "4123": "\uc0d8\ubb3c\ud638\uc2a4\ud53c\uc2a4", "4124": "empty", "4125": "empty", "4126": "3,810\uc5d4", "4127": "\uacbd\uae30 \uacfc\ucc9c\uc2dc \uad00\ubb38\ub85c 88", "4128": "4\uc8fc", "4129": "2\uc5b5 4976\ub9cc\uc6d0", "4130": "54.2%", "4131": "empty", "4132": "200\ub9cc\uc6d0", "4133": "\ub9cc 18\uc138 \uc774\ud558 \uc0b0\ubaa8", "4134": "98\ubc31\ub9cc\uc6d0", "4135": "\uc190\uc0c1 \uc608\ubc29\uc5d0 \ud544\uc694\ud55c \uc2ec\uce35\uc815\ubcf4 \uc218\uc9d1", "4136": "(\uc0ac) \uc88b\uc740 \uc0ac\ud68c\ub97c \uc704\ud55c 100\uc778\uc774\uc0ac\ud68c", "4137": "40", "4138": "\uc2ec\ud3c9\uc6d0", "4139": "\uac04\ud638 \uc778\ub825 \ubd80\uc871", "4140": "\uc0ac\ud68c\ubcf5\uc9c0\ubc95\uc778 \uae30\uc544\ub300\ucc45", "4141": "2019\ub144", "4142": "2\uc870", "4143": "\uc548\uc804\uc6a9 \uae08\uc18d\u00b7\ube44\uae08\uc18d \uac00\uacf5\uc81c\ud488", "4144": "8%", "4145": "\uc790\uc138\ubcc0\ud658 \ubcf4\uc870\uc6a9\ud488", "4146": "empty", "4147": "\ub514\uc9c0\ud138 \ubbfc\uc8fc\uc8fc\uc758 \ud50c\ub7ab\ud3fc \uad6c\ucd95\u00b7\uc6b4\uc601 \ubc0f \uc624\ud508\uc18c\uc2a4\ud654", "4148": "empty", "4149": "\ucf54\ub85c\ub09819 \uc0b4\uade0\u00b7\uc18c\ub3c5\uc81c \ucda9\ubd84\ud55c \ud658\uae30 \ud6c4 \uc548\uc804\ud558\uac8c \uc4f0\uc138\uc694!", "4150": "3\ud68c", "4151": "empty", "4152": "400,000\uc6d0", "4153": "30-0656817-0000", "4154": "2%", "4155": "600\ubc31\ub9cc\uc6d0", "4156": "empty", "4157": "\ubcd1\ubb34\uccad", "4158": "\uc815\ubcf4\uc81c\uacf5, \uaddc\uc81c, \uc720\uc778 \ub4f1", "4159": "empty", "4160": "\uad8c\uc5ed\uc751\uae09\uc758\ub8cc\uc13c\ud130", "4161": "\uacf5\uae30\uc18c\ucd1d \ubcf5\uc0ac \uac1c\uc778\uc804", "4162": "2019. 7. 1", "4163": "empty", "4164": "\uc9c0\uc5ed\uc0ac\ud68c \uae30\ubc18\ucf54\ud638\ud2b8", "4165": "\ubc30\ub780 \uc720\ub3c4", "4166": "\uc778\uc801\uff65\ubb3c\uc801 \ud22c\uc785\uc790\uc6d0\uc758 \uc6b0\uc218\uc131", "4167": "\uadfc\uc721\ub0b4 \uc2e0\uacbd \uadfc\uc721 \uc811\ud569\ubd80", "4168": "\ud589\ub3d9\uc2ec\ub9ac\uc99d\uc0c1", "4169": "\ud3c9\uade0\uc218\uba85\uc758 \uc99d\uac00\ubd84\uc744 \uc0c1\ud68c\ud558\ub294 \uac74\uac15\uc218\uba85\uc758 \uc99d\uac00", "4170": "\uc815\uc8fc\uc0dd\ud65c\uc9c0\uc6d0\uae08, \ud574\uc0c1\uc6b4\uc1a1\ube44 \ub4f1", "4171": "\ub450\uaed8\uac00 \ub450\uaebc\uc6c0 -\ubb34\uac8c\uac00 \ubb34\uac70\uc6c0", "4172": "\ubc95\ub960\uc804\ubb38\uac00", "4173": "1\uac1c", "4174": "MMV", "4175": "empty", "4176": "\uad50\uc721\uacfc\ud559\uae30\uc220\ubd80\uc7a5\uad00\uc0c1", "4177": "empty", "4178": "59\uac1c", "4179": "(\uc0ac)\ubb34\uc9c0\uac1c\ubd09\uc0ac\ub2e8", "4180": "\uad8c\uc6a9\uc11d", "4181": "\uc815\uc0c1\uad70 \ub300\uc0c1\uc73c\ub85c \uce58\ub9e4\uc608\ubc29\uc218\uce59 \uc548\ub0b4 \ubc0f \uce58\ub9e4\uc608\ubc29\uc6b4\ub3d9 \ub4f1", "4182": "PC \ubc0f \uc778\ud130\ub137 \uc5f0\uacb0 \ubc0f \uc804\uc1a1", "4183": "hole touch", "4184": "673", "4185": "empty", "4186": "\ud30c\uc778\uba54\ub515\uc2a4", "4187": "\ubc95 \uc81c9\uc870", "4188": "\ubd84\ub958\ubcc4\ub85c \ubbfc\uc6d0 \uc815\ubcf4 \ubc0f \uc11c\ube44\uc2a4 \uc81c\uacf5", "4189": "empty", "4190": "1\uac1c\uc6d4\uac04 \ud5cc\ud608 \uae08\uc9c0", "4191": "empty", "4192": "empty", "4193": "\uc601\ub0a8\ub300\ud559\uad50\uc758\ub8cc\uc6d0", "4194": "\ucd5c\ub300 9\uac1c\uc6d4", "4195": "2010.7.28", "4196": "\uc0b0\uc5c5\ubd80", "4197": "empty", "4198": "\uc790\uae30\uad00\ub9ac\ub2a5\ub825\uc99d\uc9c4", "4199": "\ud734\ub300\ud615\u00b7\uc644\uc804\uc774\uc2dd\ud615 \uc778\uacf5\ub0b4\ubd84\ube44\u00b7\uc21c\ud658\uae30\uc7a5\uce58\uac1c\ubc1c", "4200": "6\uac1c\uc6d4", "4201": "empty", "4202": "\ud3c9\uade0 10\uff5e21\uc77c", "4203": "84.6", "4204": "3\ud68c", "4205": "\uc870\uc9c1 \ubc0f \uc778\ub825", "4206": "empty", "4207": "\ud655\uc815\ud310\uacb0", "4208": "5\uba85 \uc774\ub0b4", "4209": "1,415\uac74 14\uac1c 736\uac74", "4210": "\uc720\ucd9c\uc2e0\uace0", "4211": "empty", "4212": "100\uc5b5\uc6d0", "4213": "\ub300\uae30\uc5c5", "4214": "empty", "4215": "\uc740\ube5b\ud589\ubcf5\uac00\uac8c", "4216": "\ub4dc\ub9bc\uc2a4\ud0c0\ud2b8 \uc13c\ud130 \uc2e4\ubb34\uc790", "4217": "empty", "4218": "8\uba85", "4219": "empty", "4220": "20\uac1c\uad6d", "4221": "7\ud68c", "4222": "empty", "4223": "\uc0c9\ub3d9\ud68c", "4224": "empty", "4225": "72.3%", "4226": "30\uba85", "4227": "\uc8fc\ud0dd/\ubcf5\uc9c0", "4228": "50\ub9cc\uc6d0", "4229": "empty", "4230": "\uc2e0\uc6a9\ubcf4\uc99d\uae30\uae08", "4231": "1977", "4232": "100", "4233": "empty", "4234": "empty", "4235": "empty", "4236": "80\ub9cc\uc6d0", "4237": "\ub9cc 65\uc138", "4238": "empty", "4239": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \uc7a5\ub0b4\uc138\uade0\ud300", "4240": "\uc0dd\uc0dd\uc544\uc774\ub514\uc5b4\uacf5\ubaa8\u2024\ubc1c\ud45c\ub300\ud68c", "4241": "\uc9c8\ubcd1\ubc1c\uc0dd\uc608\uce21, \uc704\ud5d8\uc694\uc778 \ubd84\uc11d \ub4f1", "4242": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \uc804\uc5fc\ubcd1\uac10\uc2dc\ud300", "4243": "\ud1b5\uc0c1\u00b7\ub300\uc678\uacbd\uc81c \uc815\ucc45", "4244": "\uacf5\ubaa8\uc804 \uc774\ud574\ub97c \ub3d5\uae30 \uc704\ud55c \uac15\uc88c \ub9c8\ub828", "4245": "\uad6d\ubbfc\uc774 \uccad\uc6d0\ud558\uba74 \uc2dd\uc57d\ucc98\uac00 \uac80\uc0ac\ud558\ub294 \uad6d\ubbfc\uccad\uc6d0\uc548\uc804\uac80\uc0ac\uc81c", "4246": "13\uba85", "4247": "55.4%", "4248": "124\uba85", "4249": "\uad6d\ub9bd\ucd95\uc0b0\uacfc\ud559\uc6d0", "4250": "empty", "4251": "\uc694\uc728 1% \uc778\ud558 \uc77c\uad04\uc801\uc6a9", "4252": "\u25b8\uacf5\ubb34 \ubc0f \uae30\uc5c5\uc758 \ud544\uc218\uacbd\uc601\ud65c\ub3d9", "4253": "\uc8fc\ubbfc\uc790\uce58\ud615 \uacf5\uacf5\uc11c\ube44\uc2a4 \uad6c\ucd95", "4254": "\u321c\uc6c5\uc9c4\ucf00\ubbf8\uce7c", "4255": "empty", "4256": "\u25aa\ubcc4\ub3c4", "4257": "\ub300\uad6c \ub2ec\uc11c\uad6c\ubcf8\ub3d9\ud478\ub4dc\ubc45\ud06c", "4258": "9\uba85", "4259": "2010.11.11", "4260": "\uc804\ub144\ub3c4 \uc2dc\uc7a5\ud615\uc0ac\uc5c5\ub2e8 \uc885\uacb0 \ubcf4\uace0\uc11c", "4261": "empty", "4262": "\uc6b4\uc601\uc218\ub2f9", "4263": "2033\ub144 \uc774\ud6c4", "4264": "\uc548\uc815\uae30 \ub9cc\uc131 \ud658\uc790\uc640 \uac00\uc871", "4265": "\uc81c\uc8fc", "4266": "\uac04\uc9c8\ud658, \uc2ec\uc7a5\uc9c8\ud658", "4267": "empty", "4268": "15\ud37c\uc13c\ud2b8", "4269": "51.6%", "4270": "empty", "4271": "\uad6d\ub0b4\ud64d\ubcf4\ud589\uc0ac", "4272": "\u25ab3\uc77c\u223c60\uc77c", "4273": "\ud55c\uc57d\uc758 \ubcf4\uad00 \ubc0f \uc720\ud1b5", "4274": "3", "4275": "51.6%", "4276": "4\uc2dc\uac04", "4277": "empty", "4278": "1\uac1c", "4279": "5,595\uba85", "4280": "\ub0ae", "4281": "\ud504\ub791\uc2a4", "4282": "\uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \ucf5c\uc13c\ud130 1339 \ub610\ub294 \ubcf4\uac74\uc18c\ub85c \uc5f0\ub77d", "4283": "40", "4284": "\ud589\uc548\ubd80", "4285": "L110cm\u00d7H46cm\u00d7W30cm \uc774\ub0b4", "4286": "\uacbd\uc0c1\ubd81\ub3c4 \ud3ec\ud56d\uc758\ub8cc\uc6d0", "4287": "\uc544\uc8fc\ub300\ud559\uad50\ubcd1\uc6d0", "4288": "empty", "4289": "170\ub9cc\uba85", "4290": "\uc0ac\ubb34\ucc98\ub9ac \ub2a5\ub825\uc9c0\uc18d \uacb0\uc5ec", "4291": "3\uc5b5\uc6d0 \ud55c\ub3c4", "4292": "\uae30\uc7ac\ubd80", "4293": "75", "4294": "\ub9cc\uad6c\ub300\ud0c1\uad7f", "4295": "empty", "4296": "empty", "4297": "empty", "4298": "\uc5b4\ub9b0\uc774\uc9d1", "4299": "\uc790\uc0b0\uc120\ud0dd\ud6a8\uacfc", "4300": "\ubbf8\uad6d", "4301": "3\ud68c \uc774\uc0c1 \uc801\ubc1c\uc2dc 2\ud68c \uc774\uc0c1 \uc801\ubc1c\uc2dc", "4302": "28.5%", "4303": "\ud589\ubcf5\ud55c \uc0ac\ud68c\uc11c\ube44\uc2a4 \uc81c\uacf5\uc778\ub825", "4304": "92\uac74", "4305": "1,000\ub9cc\uc6d0", "4306": "\ub098\ub178 \uc9c4\ub2e8\uc2dc\uc57d", "4307": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "4308": "\uc0c1\uc7a5, \uba54\ub2ec, \ud574\uc678\uc5f0\uc218", "4309": "\uc2dd\ud488\uc758\uc57d\ud488\uc548\uc804\ucc98", "4310": "2\u223c3\uc2dc\uac04 \ub0b4\uc5d0 \uac00\ubcbc\uc6b4 \ub450\ud1b5\uc774 \uc77c\uc5b4\ub0a8", "4311": "\uc591\ud3c9\uad70", "4312": "empty", "4313": "\uccad\uad6c\uc778 \uc801\uaca9", "4314": "\uc6d460\ub9cc\uc6d0", "4315": "\ud589\uc815\uc11c\ube44\uc2a4\ud1b5\ud569\ucd94\uc9c4\ub2e8\uc7a5", "4316": "\ud559\uc7a5", "4317": "\uae00\ub85c\ubc8c \ub124\ud2b8\uc6cc\ud06c \uac15\ud654", "4318": "\ub18d\ucd0c\uc9c4\ud765\uccad", "4319": "24\uff0d72\uc2dc\uac04", "4320": "empty", "4321": "2\ub144", "4322": "\uc5f0\uc18c\ub4dd 100\ub9cc\uc6d0 \uc774\ud558", "4323": "775\uc810", "4324": "\ub3d9\uacc4\uc62c\ub9bc\ud53d \uc5f0\uacc4 \uc2a4\ud3ec\uce20 \uc758\ub8cc\uad00\uad11 \ud074\ub7ec\uc2a4\ud130 \uad6c\ucd95 \uc0ac\uc5c5", "4325": "\uc6d4 80\uc2dc\uac04", "4326": "5\uae09 210\uc810 \uc774\uc0c1 6\uae09 180\uc810 \uc774\uc0c1", "4327": "\uad6d\ubbfc\uac74\uac15\uc601\uc591\uc870\uc0ac \uacb0\uacfc \ubd84\uc11d\uc744 \ud1b5\ud55c \ud55c\uad6d\uc758 2015\ub144 \ub2f4\ubc43\uc138 \uc778\uc0c1 \ud6a8\uacfc", "4328": "7", "4329": "\uad11\uc8fc\uc2dc \uad11\uc0b0\uad6c", "4330": "0.9\ub9cc\uc6d0\uff5e1.3\ub9cc\uc6d0", "4331": "70\uc810", "4332": "33\ud300", "4333": "\ud55c\uad6d\uc218\uc790\uc6d0\uacf5\uc0ac", "4334": "\uc0c8\uc62c\ud589\uc815\uc2dc\uc2a4\ud15c", "4335": "\ub2e4\ub2c8\uc5d8\uc9c1\uc5c5\uc7ac\ud65c\uc6d0", "4336": "50\ub9cc\uc6d0", "4337": "\uc544\uc8fc\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "4338": "\uc911\uacac\uc2ec\uc0ac\uad00 \uacfc\uc815", "4339": "\uc18c\uc18d \ub300\ud559\uad50 \ubc0f \ub300\ud559\uc0dd \ub300\uc0c1 \ud64d\ubcf4", "4340": "\uac1c\ub3c4\uad6d \uc804\uc790\uc815\ubd80 \uc815\ucc45 \ubc0f \ucd94\uc9c4 \ud604\ud669 \uad6d\ubcc4 \ubc1c\ud45c \ub4f1 \u203b \ucc28\uad00\ub2d8 \ud658\uc601\uc0ac", "4341": "\uc2dd\uc57d\uccad \ube14\ub85c\uadf8 \ub4f1 \ud65c\uc6a9 \uc2dd\uc57d\uccad", "4342": "16\uac1c", "4343": "\ub178\uc778\uc815\ucc45\uacfc", "4344": "\uac01 \uc2dc\u0387\uad70\ubcc4\ub85c \uacfc\uc138\ud45c\uc900\uc744 \ud569\uc0b0\ud558\uc5ec \ub204\uc9c4\uc801\uc6a9", "4345": "\ubcf4\uac74\uc758\ub8cc", "4346": "\ubd80\uc815\u00b7\ubd88\ub7c9 \uc2dd\ud488\uc815\ubcf4, \uae34\uae09\ud68c\uc218 \uc2dd\ud488\uc815\ubcf4, \uc2dd\ud488\uc601\uc591 \uc815\ubcf4 \ub4f1 \uc81c\uacf5", "4347": "\uc0b0\uc5c5\uc785\uc9c0 \ubc0f \uac1c\ubc1c\uc5d0 \uad00\ud55c \ubc95\ub960", "4348": "\ucc3d\uc6d0\uc2dc", "4349": "\uac1c\uc778 \ub0a9\uc138\uc790", "4350": "empty", "4351": "\ubc29\ucf55", "4352": "1\uc810", "4353": "15", "4354": "\ub9c8\ub9ac\ubcf8\uc0b0\ubd80\uc778\uacfc", "4355": "\uc2dd\uc57d\uccad", "4356": "empty", "4357": "100\ub9cc\uc6d0 \uc774\ud558", "4358": "18\uc138", "4359": "\uc5f0\uc18c\ub4dd 100\ub9cc\uc6d0 \uc774\ud558", "4360": "empty", "4361": "empty", "4362": "empty", "4363": "empty", "4364": "16,640\uc6d0", "4365": "\ubbf8\uad6d", "4366": "empty", "4367": "\uc804\ubd81 \uad70\uc0b0\uc2dc \ud574\ub9dd\ub85c 250", "4368": "\ubabd\uace8", "4369": "\uc0ac\ud45c\uc81c\ucd9c", "4370": "\uba40\ud2f0\ub808\ubca8 RAID \uad6c\uc870\ub97c \uc704\ud55c \ud558\uc774\ube0c\ub9ac\ub4dc \uc800\uc7a5 \uc2dc\uc2a4\ud15c", "4371": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "4372": "\ub300\uc0c1", "4373": "436\uac1c\uc18c", "4374": "empty", "4375": "\uc218\ud53c\uc544\uc5ec\uace0, \uad11\uc8fc\uc77c\uace0", "4376": "700\uba85", "4377": "empty", "4378": "empty", "4379": "\uc7ac\ud65c\ubcf4\uc870\uae30\uc220, \uc7ac\ud65c\ubcf4\uc870\ub85c\ubd07 \ub4f1\uc5d0 \uad00\ud55c \uc5f0\uad6c", "4380": "\uc138\uc885\ud2b9\ubcc4\uc790\uce58\uc2dc", "4381": "empty", "4382": "\ud5cc\ud654 \ubc0f \ubd84\ud5a5", "4383": "\uccad\uc18c\ub144\uc758 \uac74\uac15\ud55c \uc790\ub78c\uc744 \uc704\ud55c \uc6b8\ud0c0\ub9ac, \ub098\uc778\ud2f4\ucea0\ud398\uc778", "4384": "\uc911\uc704\uc18c\ub4dd 50% \uc774\ud558 \ucd08\uc911\uace0 \ud559\uc0dd", "4385": "\uc5f4\uc0ac\ubcd1", "4386": "\uacfc\ud559\uae30\uc220\ubd80", "4387": "empty", "4388": "240\uc2dc\uac04", "4389": "empty", "4390": "\uad00\ub828\uc81c\ud488 \uc608\uc2dc", "4391": "empty", "4392": "\uacbd\ube44\uacbd\ucc30\ubc95\uaddc\uc9d1", "4393": "\ubd80\uc0b0\ud56d\ub9cc\uacf5\uc0ac, \ubd80\uc0b0\ubcf8\ubd80\uc138\uad00, \uc804\ub77c\ubd81\ub3c4 \uc0c8\ub9c8\uc744\ub3c4\uc9c0\ud68c", "4394": "empty", "4395": "empty", "4396": "empty", "4397": "\ubcf4\uc131\uad70", "4398": "10,141\uba85", "4399": "48\uba85", "4400": "\uc81c3\ucc28", "4401": "\uc6c5\ucc9c\uacf5\uc6d0", "4402": "empty", "4403": "empty", "4404": "CYS-net \uad6c\ucd95 \uc6b4\uc601,- \uc5f0\uacc4\ud611\ub825\ubb38\ud654 \uc870\uc131", "4405": "19\uc138\ubbf8\ub9cc\uad6c\uc785\ubd88\uac00", "4406": "\uc0c1\uac00 1\uc5b5, \uacf5\uc7a5 1.5\uc5b5, \uc7ac\uace0\uc790\uc0b0 0.5\uc5b5", "4407": "5\uac1c\ub144", "4408": "empty", "4409": "6\uac1c", "4410": "60mm", "4411": "empty", "4412": "empty", "4413": "\uad6d\ub9bd\uc601\ud654\uc81c\uc791\uc18c", "4414": "\uc11c\uc6b8", "4415": "\uc9c0\ubc29 5\uae09", "4416": "empty", "4417": "empty", "4418": "\uc720\uce58\uc6d0\uacfc \ucd08\ub4f1\ud559\uad50", "4419": "\uc218\ucd9c\uc785\uc740\ud589", "4420": "empty", "4421": "2\uc77c\ucc28", "4422": "\uc9c0\uc5ed\uc548\uc804\uc9c0\uc218", "4423": "empty", "4424": "\uc1a1\uc0b0\ub178\uc778\ubcf5\uc9c0\uad00", "4425": "\uae40\ud574\ub178\uc778\ubcf5\uc9c0\uad00", "4426": "\ub300\uad6c\uc911\uad6c\uc2dc\ub2c8\uc5b4\ud074\ub7fd", "4427": "empty", "4428": "\uad6d\uac00DB\ud65c\uc6a9\ucd09\uc9c4\uaddc\uc815", "4429": "10%", "4430": "\uc758\uc57d\ud488 \ubc0f \uc758\ub8cc\uc7a5\ube44", "4431": "\ud0c0\uc728\uc801", "4432": "empty", "4433": "empty", "4434": "\ubbfc\uac04\ubcf4\ud5d8", "4435": "\uc81c2\uc7a5 2019\ub144 \uac00\ubb44 \ubc1c\uc0dd", "4436": "\uc591\uc9c8\uc758 \uc11c\ube44\uc2a4 \uc81c\uacf5 \ubc0f \uc9c0\uc5ed\uac70\uc810 \ubcd1\uc6d0\uc73c\ub85c \uc5ed\ud560 \uc218\ud589", "4437": "1\uc810", "4438": "\uc2dc\u2024\ub3c4 \uc870\ub840\ub85c \uc124\uc815", "4439": "\uccad\uc18c\ub144\uad00\ub828 \ud2b9\uc0c9\uc0ac\uc5c5 \ucd94\uc9c4\uc2e4\uc801", "4440": "\ubcf5\uad6c", "4441": "empty", "4442": "\uac15\ub989\uc544\uc0b0\ubcd1\uc6d0", "4443": "40\uba85", "4444": "empty", "4445": "\uba85\uc9c4\ubcf4\uc721\uc6d0", "4446": "3\ub144", "4447": "\uc7a5\uc560\uc778 \uc774\ub3d9 \uc9c0\uc6d0 \ucc28\ub7c9\uc11c\ube44\uc2a4", "4448": "60\uc810", "4449": "2006\ub144 9\uc6d4 1\uc77c ~ 9\uc6d4 17\uc77c", "4450": "\uc5b4\ub9b0\uc774 \ud480\uc7a5 \uac1c\uc7a5", "4451": "\ub2f9\ub1e8 \uac80\uc0ac \uc11c\ube44\uc2a4", "4452": "OECD E-\ub9ac\ub354\uc2a4 \uc11c\uc6b8\ud68c\uc758", "4453": "\ud559\uad50\ubc16 \uccad\uc18c\ub144", "4454": "\ub4f1\uae09\ud654\ud558\uc5ec \ub098\ud0c0\ub0c4", "4455": "empty", "4456": "empty", "4457": "3\ubc31\ub9cc\uc6d0", "4458": "\uacf5\uacf5SW\uc0ac\uc5c5 \ubc1c\uc8fc\uc2dc \ub300\uae30\uc5c5 \ucc38\uc5ec \uac00\ub2a5\ud55c \uc608\uc678\uc0ac\uc5c5 \ubc94\uc704 \ud655\ub300", "4459": "4\ucc28\uc2dc", "4460": "\uc815\ubcf4\ud654\uc804\ub7b5\uc2e4", "4461": "\ud559\uc2b5\uc790\ub8cc", "4462": "\uce58\ub8cc\uc9c0\uc18d\uc131", "4463": "\ubb34\ubd80\ud558 \ubaa8\ub4dc", "4464": "10\ub144 \uc774\ud558 \uc9d5\uc5ed \ub610\ub294 1\uc5b5\uc6d0 \uc774\ud558 \ubc8c\uae08", "4465": "\uc7ac\ub09c\uc548\uc804\ubc95\ub839, \uc704\uae30\uad00\ub9ac, \ube44\uc0c1\ub300\ube44 \ub4f1", "4466": "empty", "4467": "1,801\uba85", "4468": "65.9%", "4469": "empty", "4470": "empty", "4471": "empty", "4472": "\ud55c\uad6d\uac74\uac15 \uac00\uc815\uc9c4\ud765\uc6d0", "4473": "empty", "4474": "\uc644\uc8fc", "4475": "empty", "4476": "\uc2f1\uac00\ud3f4", "4477": "\uc785\uad6d 5\ub144 \uc774\ud558 \uacb0\ud63c \uc774\ubbfc\uc790", "4478": "\uc544\ubc84\uc9c0", "4479": "empty", "4480": "\ub300\uad6c\uc2dc\ub2c8\uc5b4\ud074\ub7fd", "4481": "2,350\uba85", "4482": "\uad50\uc721\ubd80", "4483": "111\uba85", "4484": "\ud761\ud55c\uc18d\uac74\uc131 \uc6d0\uc0ac\uc758 \uc81c\uc870\ubc29\ubc95", "4485": "700\ub9cc\uc6d0", "4486": "\uc232\uc0dd\ud0dc\u00b7\ubb38\ud654\uc7ac\ud574\uc124\uc0ac,\uc608\uc808\u00b7\uc11c\uc608\u2024\ud55c\uc790\uac15\uc0ac \ub4f1", "4487": "26.5\uba85", "4488": "\ub514\uc790\uc778\uc9c4\ud765\uc6d0", "4489": "\uc804\ub0a8 \uc21c\ucc9c(\uc815\uc6d0\ubc15\ub78c\ud68c\uc7a5 \ub0b4)", "4490": "\uc11c\uc0b0", "4491": "\uac80\uccb4(\ud608\uc561)\uc5d0\uc11c \ubc14\uc774\ub7ec\uc2a4 \uc720\uc804\uc790 \uac80\ucd9c \u203b \uc9c8\ubcd1\uad00\ub9ac\ubcf8\ubd80 \uad6d\ub9bd\ubcf4\uac74\uc5f0\uad6c\uc6d0 \uac80\uc0ac \uac00\ub2a5", "4492": "\ubaa8\ub4e0 \uc758\ub8cc\uae30\uad00 \ubc0f \ubcf4\uac74\uae30\uad00", "4493": "\ubb34\ub8cc\uc2e0\ubb38", "4494": "SMS", "4495": "PC\ubc29 \uccad\uc18c\ub144 \uac04\uc811\ud761\uc5f0 \ud53c\ud574 \uc2ec\uac01", "4496": "\ubcd1\ubb38\uc548 \ubb38\ud654 \uac1c\uc120 (2) \uc751\uae09\uc2e4 \uac10\uc5fc\uad00\ub9ac \uac15\ud654", "4497": "\u25b4\ud504\ub791\uc2a4", "4498": "\uc804\ud1b5\uc2dc\uc7a5 \uc628\ub204\ub9ac \uc804\uc790\uc0c1\ud488\uad8c \ub3c4\uc785", "4499": "empty", "4500": "\ubb34\ub8cc", "4501": "empty", "4502": "\ubbf8\uad6d(\ubbf8\uc2dc\uac74\uc8fc), \ud504\ub791\uc2a4, \ub374\ub9c8\ud06c, \uc2a4\uc6e8\ub374, \ud130\ud0a4", "4503": "\uc790\ub2c8\ub525\uc815", "4504": "\uc2dc\uac01\uc7a5\uc560\uc778\uc744 \uc704\ud55c Smart eye", "4505": "empty", "4506": "\uc88c\uc2dd\ubc30\uad6c\uc9c0\uc8fc, \uace8\ubcfc(Goal ball) \uace8\ub300", "4507": "\uc5f0\uad6c\ub178\ud2b8 \ud3ec\uc2a4\ud130, \ud578\ub4dc\ubd81, \uc11c\uba74\uc5f0\uad6c\ub178\ud2b8 \ud45c\uc900 \uc591\uc2dd \uc81c\uacf5 \ub4f1", "4508": "empty", "4509": "\ub0a0\uc528 \uae30\uc0c1\ud2b9\ubcf4 \ubc0f \uc608\ubcf4", "4510": "41\uba85", "4511": "8\uac1c", "4512": "BEXCO", "4513": "\ubb3c\ub958 \ucee8\uc124\ud134\ud2b8, \ubb3c\ub958 \ube45\ub370\uc774\ud130 \uc804\ubb38\uac00, \ubb3c\ub958\uacf5\uae09\ub9dd \uad00\ub9ac\uc790", "4514": "\uc5f4\uacbd\ub828", "4515": "empty", "4516": "\uccad\uc18c\ub144\ubcf4\ud638\ubc95", "4517": "empty", "4518": "\uacf5\uc5f0\uc7a5 \uc6b4\uc601\uc815\ubcf4 \ud1b5\ud569 \uc804\uc0b0\ub9dd \uad6c\ucd95", "4519": "\ub300\uc0c1 \ud589\uc548\ubd80\uc7a5\uad00\uc0c1", "4520": "\uac01\uc885 \uac80\uc0ac, \uce58\ub8cc \ub4f1 \uc758\ub8cc\ubaa9\uc801 \ub2ec\uc131\uc5d0 \ud544\uc694\ud55c \ud604\ubb3c \uc81c\uacf5", "4521": "1\uba85", "4522": "28.6%", "4523": "empty", "4524": "\uc77c\uc81c\uac15\uc810\uae30", "4525": "empty", "4526": "16.7%", "4527": "10\ub144", "4528": "\ud658\uacbd\u00b7\uc790\uc6d0\uc21c\ud658", "4529": "\uad7f\ud53c\ud50c\uc778\ud130\ub0b4\uc154\ub110", "4530": "empty", "4531": "empty", "4532": "empty", "4533": "\uc81c\uc870\uc5c5 \ubc0f \uc11c\ube44\uc2a4\uc5c5", "4534": "\uc601\ud654\ud544\ub984", "4535": "\ub2f9\uc9c4 3\ub3d9 \uc0ac\ub791\ubc29 \ud1a0\ub860\ud68c\ub97c \ud1b5\ud55c \ub9c8\uc744\ubbfc\uc6d0 \ud574\uacb0", "4536": "\ucc9c\uc548\uc2dc\uccad", "4537": "\ud55c\uad6d\ud55c\uc758\ud559\uc5f0\uad6c\uc6d0", "4538": "\ucc38\uc0b4\uc774 \uc8fc\uc2dd\ud68c\uc0ac", "4539": "172\uba85", "4540": "\uace8\ub2e4\uacf5\uc99d \uce58\ub8cc\uc81c", "4541": "8.15.(\uc218)10:00", "4542": "empty", "4543": "\uad6d\ucc44\ubcf4\uc0c1\uc6b4\ub3d9\uae30\ub150\uacf5\uc6d0", "4544": "\uc57d101\ub9cc\uc6d0", "4545": "3", "4546": "\ubc31\ubc94\uae30\ub150\uad00", "4547": "empty", "4548": "empty", "4549": "\uc2dc\uc6d0\ud55c \uc7a5\uc18c\uc5d0\uc11c \ud734\uc2dd\uc744 \ucde8\ud558\uace0 \uc218\ubd84\uc744 \uc12d\ucde8", "4550": "\ubb38\ud654\uc608\uc220\ud68c\uad00", "4551": "\ucc44\uc6a9\ube44\ub9ac\uc5d0", "4552": "\uc2dc\u00b7\uad6c\uac04 \ud611\ub825\uc81c\ub3c4 \uac15\ud654", "4553": "\uadfc\uc721\uc758 \uacbd\ub828\uacfc \ud1b5\uc99d\uc744 \uc218\ubc18\ud569\ub2c8\ub2e4.", "4554": "\ud65c\uc131\ud0c4", "4555": "60.3%", "4556": "\uc720\uc5d4\uc544\ub3d9\uad8c\ub9ac\ud611\uc57d", "4557": "1\uc77c\ub2f9 \ucd5c\ub300 4\uac1c\uc758 \ubc94\uc704 \ub0b4, \ucc98\ubc29\uae30\uac04\uc740 1\ud68c 90\uc77c \uc774\ub0b4", "4558": "empty", "4559": "\uad6d\uc138\uccad\uae30\uc220\uc5f0\uad6c\uc18c", "4560": "\uc5f4\uacbd\ub828", "4561": "empty", "4562": "\uc8fc\ubbfc\uad50\uc721\uc5f0\uad6c\ub2e8\uccb4", "4563": "\ud55c\uad6d\ud56d\uacf5\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "4564": "25.5% 20%", "4565": "\uac15\ub989\uc6d0\uc8fc\ub300\ud559\uad50\uc0b0\ud559\ud611\ub825\ub2e8", "4566": "empty", "4567": "\ud611\ub3d9\uc870\ud569 \ub9ac\uc6cc\ud06c", "4568": "106\uc5b5\uc6d0", "4569": "1,000\uba85", "4570": "\uad50\uc721\ubd80", "4571": "40", "4572": "\uc218\uc18c \uacb0\ud569 \ud6a8\uacfc \uc785\uc99d", "4573": "10:00", "4574": "\ucd9c\uc785\uad6d\uad00\ub9ac\uc0ac\ubb34\uc18c", "4575": "1\ud68c", "4576": "\uace0\ub839\uc0ac\ud68c \ub300\uc751", "4577": "32\uba85", "4578": "empty", "4579": "empty", "4580": "empty", "4581": "\uc694\uc591\uae09\uc5ec\ube44\uc6a9\ucd1d\uc561\uc774 1\ub9cc5\ucc9c\uc6d0\uc744 \ucd08\uacfc\ud558\uc9c0 \uc544\ub2c8\ud558\ub294 \uacbd\uc6b0", "4582": "empty", "4583": "4\uba85", "4584": "AI(\uc778\uacf5\uc9c0\ub2a5), ICBM, \uc13c\uc11c \uae30\uc220\uc735\ud569", "4585": "60\ubd84", "4586": "5\uac1c", "4587": "\ubd80\uc0b0\uad11\uc5ed\uc2dc", "4588": "46%", "4589": "\ucc9c\ud558\uc7a5\uc0ac \ub9c8\ub3c8\ub098", "4590": "\uc11c\uc6b8 \ubc0f \uc804\uc8fc \ud22c\uc5b4", "4591": "\uc911\uae09 \uacfc\uc815", "4592": "\uad50\uc721\ubd80", "4593": "\uc2e0\uc548\uad70\uccad", "4594": "23\ub9cc\uac1c", "4595": "\uace0\uac1d\ub9cc\uc871 \uc804\uc790\ubbfc\uc6d0\uc11c\ube44\uc2a4 \uc81c\uacf5", "4596": "\ubcf5\uc9c0\ubd80", "4597": "\uc724\uc625\uc5f0", "4598": "\uc11c\ube44\uc2a4 \uc9c0\uc6d0 \ubc0f \uae30\ud0c0", "4599": "empty", "4600": "empty", "4601": "empty", "4602": "\ud658\uacbd\ubd80", "4603": "empty", "4604": "\ud1b5\ud569\uac80\uc0c9\uc11c\ube44\uc2a4(\uac80\uc0c9\uc5d4\uc9c4 \ub3c4\uc785)\u25e6 \uc74c\uc131\uc11c\ube44\uc2a4(TTS) \ub3c4\uc785", "4605": "\uce04\ub7ec\uc2a4", "4606": "5\uba85", "4607": "\ucd1d\uad04\ud300 \uc11c\uc6b8, \uc778\ucc9c, \uacbd\ubd81 \uacbd\uae30, \uac15\uc6d0, \ubd80\uc0b0, \ub300\uad6c", "4608": "\ubaa8\ubc14\uc77c \ud658\uacbd", "4609": "\uad50\ud1b5\ube44", "4610": "\uc81c\uc8fc\ud55c\ub77c\ubcd1\uc6d0", "4611": "empty", "4612": "\uc601\uc591\uacf5\uae09", "4613": "empty", "4614": "\uc2ec\ud608\uad00\uc218\uc220", "4615": "\uc138\ucd9c\uc608\uc0b0 \ucd1d\uc561 \ud55c\ub3c4 \ub0b4 \uc778\uac74\ube44\u00b7\ubb3c\uac74\ube44 \uac04 \uc804\uc6a9 \uac00\ub2a5", "4616": "empty", "4617": "empty", "4618": "empty", "4619": "\ubbf8\uad6d, \ub374\ub9c8\ud06c", "4620": "2\uc8fc", "4621": "30\ub300\uc640 60\ub300\uc774\uc0c1", "4622": "5\uc6d41\uc77c(\uae08)~5\uc6d47\uc77c(\ubaa9)", "4623": "\uacf5\ubb34\uc6d0\ubbf8\uc220\ub300\uc804 \uc218\uc0c1\uc790 \uc791\ud488", "4624": "\ucda9\ubd81", "4625": "empty", "4626": "\u25e6\uad6d\ubbfc\uc5f0\uae08 \ud648\ud398\uc774\uc9c0", "4627": "20%", "4628": "\ub300\uad6c \uc131\uc11c\ubcf5\uc9c0\uad00", "4629": "empty", "4630": "\uc548\ud589\ubd80", "4631": "empty", "4632": "\uc77c\ubcf8 \uc0ac\uac00\ud604", "4633": "empty", "4634": "\uc758\ub8cc\uae09\uc5ec, \uc790\ud65c, \uae34\uae09\uc9c0\uc6d0, \ubb34\ud55c\ub3cc\ubd04", "4635": "M. kansasii", "4636": "6\uba85", "4637": "18\uc138", "4638": "empty", "4639": "\ub4f1\uad50\uc911\uc9c0", "4640": "empty", "4641": "empty", "4642": "\uc77c\uc2dc\uc801 \uc758\uc2dd\uc18c\uc2e4", "4643": "empty", "4644": "\uc591\uc218 \uac80\uc0ac", "4645": "644,510\uc6d0", "4646": "\ubcf4\uae08\uc790\ub9ac \ub9c8\ub828 \uc9c0\uc6d0", "4647": "20.27%\u00d796%", "4648": "\uae30\uae08\uc6b4\uc6a9\uccb4\uacc4\uc758 \uc804\ubb38\uc131\u318d\ub3c5\ub9bd\uc131 \uc81c\uace0", "4649": "\u25aa\ud3c9\uc0dd\ud559\uc2b5\uacc4\uc88c\uc81c \ubc0f \uc9c1\uc5c5\ub2a5\ub825\uac1c\ubc1c\uacc4\uc88c\uc81c", "4650": "\uc5f4\uacbd\ub828", "4651": "empty", "4652": "\uccad\uc18c\ub144\ubcf4\ud638\ubc95 \uc81c14\uc870", "4653": "empty", "4654": "1707", "4655": "\uc758\ub8cc\uae30\uae30 \uc778\ud5c8\uac00 \uacfc\uc815", "4656": "empty", "4657": "14,815\uac1c", "4658": "\uac11\uc0c1\uc120, \uc720\ubc29 (2)", "4659": "empty", "4660": "\u2981\uad6d\ub0b4\u2027\uc678 \uc9c0\uc2dd\uc7ac\uc0b0 \uc804\ubb38\ub3c4\uc11c", "4661": "\uc7ac\uc815\ud22c\uc790\uacc4\ud68d", "4662": "70", "4663": "\uc7a5\uc560\uc778 \uce58\uacfc\uc758\ub8cc\uc11c\ube44\uc2a4 \uc9c0\uc6d0 \ubc0f \uae30\ubd80\ubb38\ud654 \ud65c\uc131\ud654", "4664": "2009\ub144 2010\ub144", "4665": "200\ub9cc\uc6d0", "4666": "\uc544\uc2dc\uc544(11) \uc911\ub0a8\ubbf8", "4667": "empty", "4668": "empty", "4669": "\ubbf8\ub2f4\uc7a5\ud559\ud68c", "4670": "empty", "4671": "34.0", "4672": "\uc815\ub3c5\ub3c4\uc11c\uad00", "4673": "\ubcf4\uad00 \ubc0f \ud734\ub300\uac00 \uac04\ud3b8\ud55c \ud559\uc2b5\uc6a9 \uac00\uc704", "4674": "3\uae09", "4675": "empty", "4676": "1\uae09", "4677": "500\ub9cc\uc6d0", "4678": "5\uba85", "4679": "\uc5ec\ub7ec \uac00\uc9c0 \ud798\uc5d0 \uc758\ud55c \uc9c0\uad6c\uc758 \ub300\ub958 \ud559\uc2b5 \uc2dc\uc2a4\ud15c", "4680": "5\uae09", "4681": "61.8\uc138", "4682": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "4683": "2010\ub144 12\uc6d4 9\uc77c", "4684": "\uc870\uc0ac\uac10\uc2dc\uad6d\uc7a5", "4685": "empty", "4686": "524\uc5b5\uc6d0", "4687": "\ub300\uc804", "4688": "\uc774\uc804\uba85\ub839", "4689": "empty", "4690": "\ub098\ud640\ub85c \uc544\ub3d9 \uac00\uc815 \ub3cc\ubd04 \uc11c\ube44\uc2a4, \uc815\uc2e0\uac74\uac15 \ucf00\uc5b4\uc11c\ube44\uc2a4", "4691": "empty", "4692": "19\uc810", "4693": "\ubaa8\ub178\ub9ac\uc2a4\ud50c\ub79c", "4694": "\uc11c\uc6b8 \uc0bc\uc131\ub3d9 \ucf54\uc5d1\uc2a4", "4695": "3\uae09", "4696": "\uc0dd\ud65c\uc548\uc804\uccb4\ubc0f\uad50\uc721", "4697": "empty", "4698": "1.28\uc5b5", "4699": "1\uae09", "4700": "empty", "4701": "10\ub144", "4702": "\uc131\uade0\uad00\ub300\ud559\uad50", "4703": "empty", "4704": "empty", "4705": "\ubcf4\uac74\uc758\ub8cc\uacc4 \ubcf4\ub3c4\uc790\ub8cc\uc640 \ubcf4\uac74\uc815\ucc45 \uc790\ub8cc", "4706": "empty", "4707": "\ub110(Null) \ud3ec\uc778\ud130 \uc5ed\ucc38\uc870", "4708": "15%", "4709": "empty", "4710": "\ud2b9\uc815\ud6c4\uacac", "4711": "\uc81c\uac70\ub418\uc9c0 \uc54a\uace0 \ub0a8\uc740 \ub514\ubc84\uadf8 \ucf54\ub4dc", "4712": "\ud3d0\ub97c \uc774\uc2dd\ubc1b\uc740 \uc0ac\ub78c", "4713": "\uccad\ub3c4\uad70", "4714": "\uc5b4\uc131\ucd08\uc640 \uae38\uacbd\uc744 \uc774\uc6a9\ud55c \uc54c\ub7ec\uc9c0 \uc5b5\uc81c \ubc0f \uc81c\uc5b4 \uae30\ub2a5\uc131 \uc2dd\ud488 \uc18c\uc7ac \uac1c\ubc1c", "4715": "\uc81c2\uc7a5 \ucd1d\uad04\uccad", "4716": "150\uba85", "4717": "8\ud68c", "4718": "empty", "4719": "\uc2a4\ub9c8\ud2b8\uc704\ud0dd\uc2a4 STAX", "4720": "empty", "4721": "21.3\ub300", "4722": "30(\ud604\ud589)\u219250%", "4723": "\ud504\ub791\uc2a4", "4724": "empty", "4725": "70%", "4726": "empty", "4727": "22\uac1c", "4728": "\uc138\ubd80\uc5f0\uad6c\uacfc\uc81c \ud3c9\uac00 \ubc0f \ud3c9\uac00\uc5d0 \ub300\ud55c \uc804\ubc18\uc801\uc778 \uc0ac\ud56d \uacb0\uc815", "4729": "\uc751\uae09\uc758\ub8cc \uc81c\uacf5", "4730": "\ubcf4\uc2e0\uac01 \uad11\uc7a5", "4731": "\ub374\ub9c8\ud06c ATP", "4732": "\ub450\ub958\uacf5\uc6d0", "4733": "32", "4734": "\ubc15\uc0ac\ud559\uc704\ub17c\ubb38 1\ud3b8 \ubc0f \ubc15\uc0ac\ud559\uc704 \ub17c\ubb38\uc694\uc57d\uc11c(\uc9c0\uc815\uc11c\uc2dd)", "4735": "empty", "4736": "\ud3c9\ubc94\ud55c \uc77c\uc0c1\uc758 \uc18c\uc18c\ud55c \ud589\ubcf5", "4737": "empty", "4738": "\uc6b0\uc218\uc0c1", "4739": "\uba74\uc5ed\ubc31\uc2e0\uac1c\ubc1c", "4740": "empty", "4741": "empty", "4742": "empty", "4743": "30(\ud604\ud589)\u219250%", "4744": "3\ucc28", "4745": "\uad6d\uc870\uc2e4", "4746": "\ub300\ud55c\ubbfc\uad6d \uae30\uc0c1\uc0b0\uc5c5 \ub300\uc804", "4747": "empty", "4748": "\uae00\ub85c\ubc8c \uc7ac\uc0dd\uc758\ub8cc \uc0ac\uc5c5\ub2e8", "4749": "empty", "4750": "\ucd5c\uc6b0\uc218", "4751": "50\uc5b5", "4752": "\ub3c4\uc18c\ub9e4", "4753": "\uacbd\uae30 \uc758\uc815\ubd80", "4754": "\ubbfc\uac04\uc6b4\uc601", "4755": "\uc0dd\uacc4\ube44\uc735\uc790\uc774\ucc28 \ubc0f \uc190\uc2e4\ubcf4\uc804\uae08", "4756": "\ud5c8\uc900, \ub958\uc758\ud0dc \ub4f1 \ub9ce\uc740 \uba85\uc758 \ubc30\ucd9c \uace0\uc7a5", "4757": "MR \ub9e4\uc9c1 \uac8c\uc774\ud2b8", "4758": "empty", "4759": "empty", "4760": "EXPO \uc8fc\uc81c\uad00", "4761": "5\uac74", "4762": "\uc9c0\uc5ed \ub0b4 \ud559\uad50, \uae30\uc5c5, \ubcd1\uc6d0 \ub4f1\uc5d0 \ub178\uc778\uc744 \ud30c\uacac\ud558\uc5ec \ud734\uba3c \uc11c\ube44\uc2a4\ub97c \uc81c\uacf5", "4763": "52.3% \uc5ec\uc790 5.7%", "4764": "\uc218\ud559\uc801 \ud0d0\uad6c\ud558\uae30", "4765": "20%", "4766": "empty", "4767": "\uc751\uae09\uc758\ub8cc \uc81c\uacf5", "4768": "\ud0d0\uad6c\ud558\ub294 \ud0dc\ub3c4 \uae30\ub974\uae30", "4769": "empty", "4770": "empty", "4771": "4\ucc28 \uc704\uc6d0\ud68c", "4772": "\uc608\ube44\ub9d8", "4773": "\uc9c0\uacbd\ubd80, \ubcf5\uc9c0\ubd80", "4774": "126\ub9cc\uc6d0", "4775": "\uc784\uc0c1\uc9c4\ub8cc\uc9c0\uce68 \ub4f1 \uc758\ud559\uc5f0\uad6c \ud65c\uc131\ud654", "4776": "\uc778\ud130\ub137\uc774\uc6a9\uc790\uc218, PC\uc0ac\uc6a9\uc790\uc218, \ud734\ub300\ud3f0 \uac00\uc785\uc790\uc218 \ub4f1", "4777": "\uc2e0\uc911\ub144 \ub18d\ucd0c\ud65c\ub825 \uc0c8\ub85c\uc77c\ud558\uae30 \ud504\ub85c\uc81d\ud2b8", "4778": "\ubcf8\uc778\ubd80\ub2f4\uae08\uc81c\ub3c4 \uac1c\uc120", "4779": "2\ucc28 \uc704\uc6d0\ud68c", "4780": "\uc57d 3,000\uba85", "4781": "empty", "4782": "374\uc5b5\uc6d0", "4783": "\ud55c\uad6d\ud658\uacbd\uacf5\ub2e8", "4784": "\ub300\ub9cc", "4785": "3g\ubbf8\ub9cc", "4786": "2013\ub144", "4787": "\ubcc0\ub9ac\uc0ac, \uad50\uc218 \ub4f1 \uc9c1\ubb34\ubc1c\uba85 \ucee8\uc124\ud305 \uc804\ubb38\uac00", "4788": "\ud574\ub2f9 \uad6d\ub0b4 \uc804\ud30c", "4789": "\uc77c\ubc18\ubcd1\uc0c1", "4790": "empty", "4791": "\ub3c4\uc804 \ud2bc\ud2bc\uac00\uc871 \uace8\ub4e0\ubca8", "4792": "3,000\u33a1\uc774\uc0c1", "4793": "\ub9ac\uc2a4\ud399\ud2b8", "4794": "2\uad70 \ud56d\uc554\uc81c\ubcd1\uc6a9\uc694\ubc95", "4795": "empty", "4796": "\ub3c4\uc2dc\ub77d \uc81c\uacf5\uae30\uad00", "4797": "1949", "4798": "\u25b8\uac1c\ubcc4\ubc95\ub839\u00b7\uc870\ub840\ub97c \uadfc\uac70\ub85c \uc218\uc758\uacc4\uc57d \u25b8\uc785\ucc30 \uc6d0\uce59, \uc218\uc758\uacc4\uc57d \uc608\uc678", "4799": "21.8\uba85", "4800": "\uad6d\ub9bd\uc6d0\uc608\ud2b9\uc791\uacfc\ud559\uc6d0", "4801": "\uc591\uc790\uc815\ubcf4\ud1b5\uc2e0\uc815\ucc45\ucd94\uc9c4 \ubc29\ud5a5", "4802": "\ubbfc\uac04\uc7ac\uc6d0", "4803": "\u25b9 \uc720\ud6151\ubcf4\ub2e4 \uc548\uc804\uc870\uce58 \uae30\uc900 \uac15\ud654", "4804": "\ud611\uc758\ud68c \uc6b4\uc601 \uad00\ub828 \uc8fc\uc694\uc548\uac74 \uc2ec\uc758 \ubc0f \uc2e0\uaddc \uc758\uc81c\ubc1c\uad74", "4805": "empty", "4806": "\uc548\uc804\ub3c4\uc2dc \ud64d\ubcf4", "4807": "empty", "4808": "R&D \uc9c0\uc6d0", "4809": "\uc9c0\ubc29 \ubd84\uad8c\ud615 \uac1c\ud5cc \uc9c0\uc6d0", "4810": "\u321c\uc54c\uc774\uc5d0\uc774\uce58", "4811": "empty", "4812": "\uc740\ud604 \uc5b4\ub9b0\uc774\uc9d1", "4813": "\uacbd\uae30\ub3c4", "4814": "IBK\uae30\uc5c5\uc740\ud589", "4815": "empty", "4816": "empty", "4817": "\uc2ec\uc0ac \ucc29\uc218 \uc804 \uac1c\ucd5c", "4818": "\ubbfc\uac04\uc804\ubb38\uac00 \ucee8\uc124\ud305 \uc9c0\uc6d0 \ub4f1", "4819": "\ub9e4\ub144 \ubc1c\ud45c", "4820": "10\uc2dc\uc911\uc559 1\ube0c\ub9ac\ud551\uc2e4", "4821": "183\uba85", "4822": "\uc2a4\uc6e8\ub374", "4823": "123.92\uc720\ub85c", "4824": "\ubaa9\uac78\uc774 \ub4f1", "4825": "\ucda9\uccad\ub0a8\ub3c4 \ub2f9\uc9c4\uad70\ubcf4\uac74\uc18c", "4826": "\uc8fc\uc8fc\uc758 \uc2e0\uc8fc\uc778\uc218\uad8c", "4827": "\uc790\ubb38\uc5d0 \ub530\ub77c \uc758\uacb0\uad8c\uc744 \ud589\uc0ac\ud560 \uc218 \uc788\ub2e4", "4828": "\uad6d\ubbfc\uc5f0\uae08", "4829": "12,600\uc6d0", "4830": "\ub300\uad6c \uc11c\uad6c", "4831": "\uc804\uae30\ucc28\ucda9\uc804\uc18cDB", "4832": "empty", "4833": "\ub0a8\uad6c", "4834": "CJ \uc81c\uc77c\uc81c\ub2f9(\uc8fc)", "4835": "empty", "4836": "empty", "4837": "40\ud37c\uc13c\ud2b8", "4838": "\uc0ac\ub9dd", "4839": "\uc11c\uc6b8 \uc0bc\uc131\ub3d9 \ucf54\uc5d1\uc2a4", "4840": "1.5\uc870", "4841": "\uc218\ud0c0\uc0ac\uae38", "4842": "\uc885\ub85c\uc0c1\ub2f4\uc13c\ud130", "4843": "empty", "4844": "empty", "4845": "40\ud3ec\ub300", "4846": "4\uae091\ud638", "4847": "empty", "4848": "\uc628\ub204\ub9ac\uc0c1\ud488\uad8c", "4849": "\uc2a4\ub9c8\ud2b8\ud3f0 \ub4f1\uc744 \uc774\uc6a9\ud558\uc5ec \ud604\uc7a5\uc5d0\uc11c \uc5c5\ubb34 \uc218\ud589", "4850": "\uc5c5\ubb34 \ubd80\ub2f4 \uacbd\uac10", "4851": "\uc9c0\uc2dd\uc7ac\uc0b0\uad8c \uc81c\ub3c4\uac1c\uc120 \ubc29\uc548", "4852": "\uc6b4\ub3d9 \uc778\uc9c0 \uae30\ub2a5 \uc7ac\ud65c\uc5f0\uad6c\uacfc", "4853": "\uccad\ud48d\ub9ac\uc870\ud2b8(\ucee8\ubca4\uc158\ud640)", "4854": "empty", "4855": "\ub450 \ud314\uc758 \uae30\ub2a5\uc744 \uc783\uc740 \uc0ac\ub78c", "4856": "\uace0\uc6a9\uc9c0\uc6d0\uc13c\ud130", "4857": "2\ud68c", "4858": "empty", "4859": "188,363\uc6d0", "4860": "Data\uac00 \ub9ce\uace0 \ubcf5\uc7a1", "4861": "1\uae09", "4862": "\uc5f0\ucc9c\uad70", "4863": "empty", "4864": "\uc0c1\ud638\uc791\uc6a9", "4865": "\ubcf4\uc721\ud658\uacbd", "4866": "\uc778\uccb4\uac10\uc5fc \uac00\ub2a5\uc131 \uc788\ub294 \ub3d9\ubb3c \uc778\ud50c\ub8e8\uc5d4\uc790 \ubc14\uc774\ub7ec\uc2a4 \uc5c6\uc74c.", "4867": "\uc77c\ubcf8", "4868": "\ub300\uaddc\ubaa8 \ubcf4\uc870\uc0ac\uc5c5 \uc2e0\uc124\uc2dc \uc9c0\ubc29\uc758\uacac \uc218\ub834 \uc808\ucc28 \uc81c\ub3c4\ud654", "4869": "empty", "4870": "\uc138\uc785\uff65\uc138\ucd9c \ud604\ud669 \uacf5\uac1c", "4871": "\uc774\uc2a4\ud0c4\ubd88\ubb38\ud654\uc6d0", "4872": "empty", "4873": "\uba85\ub2e8\uacf5\uac1c", "4874": "1,000\uba85", "4875": "\ud55c\ud654\ud14c\ud06c\uc708 \uc2dc\ud050\ub9ac\ud2f0\ubd80\ubb38", "4876": "\ud589\uc815\uc548\uc804\ubd80", "4877": "\uc5b4\ub9b0\uc774\ubd80\ud130 \ub178\uc778\uae4c\uc9c0 100\uc138\uacf5\ub3d9\uccb4 \ud65c\uc131\ud654\uc0ac\uc5c5", "4878": "empty", "4879": "100%", "4880": "2\uc778", "4881": "20%", "4882": "1988\ub144", "4883": "\uc5d0\uc2a4\ud53c\ucf54\ub9ac\uc544", "4884": "48\ucc9c\uc720\ub85c", "4885": "\ud55c\uad6d \uc804\ub825", "4886": "2\uc790\ub140 \uacbd\uc6b0 20\uc138\uae4c\uc9c0 \ud55c\uc790\ub140\ub2f9 10%, \uc14b\uc9f8\uc774\uc0c1 15% \uacbd\uac10", "4887": "1.6%", "4888": "empty", "4889": "\uc815\uc6d4\ub300\ubcf4\ub984 \ub098\ubb3c\ud30c\ub294 \uc2dc\uc7a5 \ud48d\uacbd", "4890": "10\uc5b5", "4891": "\uc544\ub3d9\uc778\uc9c0\ub2a5\ub825\ud5a5\uc0c1\uc11c\ube44\uc2a4", "4892": "\uc11c\ube44\uc2a4\uc2dc\uac04 \uc99d\ub7c9\uccad\uad6c", "4893": "\uad6d\uac00\uc601\uc591\uc9c0\uc6d0\uc81c\ub3c4", "4894": "70\uc5ec\uba85", "4895": "5\ub144", "4896": "100\ub9cc\uc6d0", "4897": "\uc5b8\uc591\uc54c\ud504\uc2a4\uc2dc\uc7a5\ud611\ub3d9\uc870\ud569", "4898": "\uc815\ubd80\uc911\uc559\uccad\uc0ac5\uce35 1\ube0c\ub9ac\ud551\uc2e4", "4899": "42\uac1c", "4900": "empty", "4901": "\ub2f9\ub1e8\ubcd1", "4902": "empty", "4903": "3\uce35 \uc2dd\ub2f9", "4904": "empty", "4905": "\uc131\uc778\uc5ec\uc131", "4906": "empty", "4907": "\uc138\uc885\uc790\uce58\uc2dc, \uacbd\uae30\ub3c4, \uad11\uc8fc \ub3d9\uad6c, \uc804\ubd81 \ub0a8\uc6d0\uc2dc, \uacbd\ub0a8 \uc591\uc0b0\uc2dc, \uacbd\ub0a8 \uc0b0\uccad\uad70", "4908": "\uc0ac\ubb34 \uc77c\ubd80 \uacf5\ub3d9 \ucc98\ub9ac", "4909": "210\uba85(100%) 178\uba85", "4910": "\uc0ac\ud68c\ubcf5\uc9c0\uacf5\ub3d9\ubaa8\uae08\ud68c \ub300\uac15\ub2f9", "4911": "\uc774\ubd815\ub3c4\uccad", "4912": "empty", "4913": "\uc9c0\ub2a5\ud615 \ud3d0\uae30\ubb3c \uc548\uc804\ucc98\ub9ac \uad00\ub9ac\uccb4\uacc4 \uad6c\ucd95", "4914": "\uc804\ub77c\ubd81\ub3c4 \ub0a8\uc6d0\uc2dc", "4915": "1\uc778", "4916": "\ubd80\ubaa8\uc640\uc758 \uac08\ub4f1", "4917": "empty", "4918": "empty", "4919": "empty", "4920": "\uc0c8\ub9c8\uc744\uae08\uace0", "4921": "\uc9c4\ud3d0\uc640 \uc704\ud3d0\uc758 \uad6c\ubd84", "4922": "City tour", "4923": "empty", "4924": "25\uac1c\uc18c", "4925": "empty", "4926": "\uc6b0\uc988\ubca0\ud0a4\uc2a4\ud0c4", "4927": "\uc804\ub0a8", "4928": "empty", "4929": "\u2218\uc790\uccb4\uc810\uac80\uae30\uac04 \ub2e8\ucd95", "4930": "empty", "4931": "\uc0c1\uba85\ub300\ud559\uad50", "4932": "\uc548\uc804", "4933": "\uc9c0\ubc29\uc758\ub8cc\uc6d0,\ubcf4\uac74\uc18c\ub4f1\uadfc\ubb34", "4934": "empty", "4935": "46\ubd84 41\ubd84", "4936": "\uae30\uacc4/\uae08\uc18d \ubd84\uc57c", "4937": "2018\ub144 \ud3c9\ucc3d\ub3d9\uacc4\uc62c\ub9bc\ud53d \ud64d\ubcf4 \uc804\uad6d\uc790\uc804\uac70\ucea0\ud398\uc778", "4938": "\ube44\ubc88", "4939": "empty", "4940": "\uc57d\ub839\uc804\ud1b5\uc2dd\ud488", "4941": "2\uac1c", "4942": "\uc778\ub3c4, \ud544\ub9ac\ud540, \ub9d0\ub808\uc774\uc2dc\uc544", "4943": "empty", "4944": "5,230\uba85", "4945": "\uc74c\uc2dd \ubc0f \uc2dd\ub2f9 \uc704\uc0dd\uc0c1\ud0dc \ub4f1 \uc810\uac80", "4946": "\ud669\uc0ac\uc885\ub8cc \ud6c4", "4947": "\uc6d4 30\ub9cc\uc6d0", "4948": "\uc18c", "4949": "empty", "4950": "151\ub9cc\uc6d0", "4951": "\uc0ac\uc6a9\uc790 \ud3b8\uc758\uc131 \ud655\ubcf4", "4952": "\uc2dc\uac04\ub2f9 1,000\uc6d0", "4953": "16\uce35", "4954": "655\ubc31\ub9cc\uc6d0", "4955": "\ubd80\ubd80\ud074\ub9ac\ub2c9 \uc0ac\ub791\uacfc \uc804\uc7c1", "4956": "empty", "4957": "empty", "4958": "1,149\uac1c\uc18c", "4959": "empty", "4960": "\ub9c8\uc790\ub810\ub85c\uc13c\ud0c0", "4961": "\uc560\ub780\uc6d0", "4962": "\uacf5\ub3d9\uad6c\ucd95", "4963": "\uc81c14\uc870", "4964": "27\uc5b5\uc6d0", "4965": "\ud559\ubd80\ubaa8", "4966": "\uc758\ub8cc\uae30\uad00 \uc790\uccb4\uc2ec\uc758 \ud6c4 \uc0ac\uc6a9\ud558\uace0 \uc2ec\ud3c9\uc6d0\uc774 \uc0ac\ud6c4\uac80\ud1a0\ud558\ub294 \uc81c\ub3c4 \uc2e0\uc124", "4967": "\ud559\uc0dd\uc6a9\ud488\ud1b5\ud569\uc9c0\uc6d0\uc13c\ud130", "4968": "empty", "4969": "91.3", "4970": "\uc54c\ub9bc", "4971": "empty", "4972": "\uc77c\ubc18\uc9c4\ub8cc\uc9c8\ubcd1\uad70", "4973": "\uba74\uc5ed\uac70\ubd80 \ubc18\uc751", "4974": "\uc0c8 \uc628\ub77c\uc778 \ub0a9\ubd80\uc6a9 \uace0\uc9c0\uc11c", "4975": "\uc911\uc559\ub178\uc778\ubcf4\ud638\uc804\ubb38\uae30\uad00 \ud648\ud398\uc774\uc9c0", "4976": "369\ub9cc\uc6d0", "4977": "\ucc9c\uc2dd \ucf5c \uc13c\ud130", "4978": "\ucc3d\uc6d0\uac80\ucc30\uccad, \uacbd\ub0a8\uacbd\ucc30\uccad", "4979": "241\ub9cc\uc6d0", "4980": "\uc18c\ud654\uae30\uacc4\uc9c8\ud658", "4981": "28%", "4982": "37\ubc31\ub9cc\uc6d0", "4983": "2H", "4984": "1.2\uba85 \uc774\ub0b4", "4985": "\uc0ac\uc5c5\uc7a5 \ud68c\uc6d0", "4986": "\uc5ec\uac1d\uc2dc\uc124", "4987": "3\uc790\ub140 \uc774\uc0c1 \uac00\uad6c \uc8fc\ud0dd\uad6c\uc785\uc790\uae08 \ubc0f \uc804\uc138\uc790\uae08 \ud638\ub2f9 \ub300\ucd9c\ud55c\ub3c4 \ud655\ub300", "4988": "19\uba85", "4989": "\uc6f9\ud638\uc2a4\ud305\uc5c5\uccb4", "4990": "\ub300\ud55c\uc81c\uacfc\ud611\ud68c", "4991": "\uacf5\uc775\uad11\uace0 \uc2e4\uc2dc", "4992": "empty", "4993": "\uc9c0\uc5ed\uac1c\ubc1c\uc0ac\uc5c5 \ub4f1\uc744 \uc2ec\uc0ac\ud558\uc5ec \ubc30\ubd84", "4994": "\ubbfc\uac04 \ubcd1\u00b7\uc758\uc6d0", "4995": "\ubc1c\uba85\uc790", "4996": "341\uc5b5", "4997": "\uc2dc\uac04\ub2f9 4\ucc9c\uc6d0", "4998": "\uad6d\uac00\uae30\ub85d\uc6d0", "4999": "empty", "5000": "1964\ub144", "5001": "\uc2e0\uc7a5, \uccb4\uc911, \ube44\ub9cc\ub3c4", "5002": "\ud30c\uae09\uc131", "5003": "179\uac1c\uc18c", "5004": "54,070\uc6d0", "5005": "\uacfc\uc804\uc555\uc5d0 \uac15\ud558\uc5ec \ubc1c\ud654/\ubc1c\uc5f4\uc758 \uc704\ud5d8\uc774 \uc801\uc74c", "5006": "3,600\uba85", "5007": "\ud55c\uad6d\uacf5\uacf5\uad50\ud1b5APP", "5008": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "5009": "\uafc8\uafc0\ud1b5", "5010": "\uc9c1\ubb34\uad50\uc721, \ubcf4\uc218\uad50\uc721", "5011": "\uc2ec\uc7a5\uc9c8\ud658", "5012": "\ubd80\ub3d9\uc0b0", "5013": "\ub9cc 40\uc138 \uc774\uc0c1 \ub0a8\u2024\uc5ec", "5014": "\uc2a4\uc6e8\ub374", "5015": "\ubcf5\uc9c0\ud615", "5016": "\uc2dc\uad70\uad6c, \uc2dc\ubc94\uc0ac\uc5c5\uc6b4\uc601\ud300", "5017": "\uc784\uc2dc\uac70\uc18c \uc81c\uacf5 \ub610\ub294 \uc774\uc5d0 \ud574\ub2f9\ud558\ub294 \uae08\uc804 \ub610\ub294 \ud604\ubb3c \uc81c\uacf5", "5018": "\uc2ec\uccad\ucd95\uc81c\uc704\uc6d0\ud68c", "5019": "\ub300\uad6c \ubd81\uad6c", "5020": "1\ud300", "5021": "489\uba85", "5022": "1997\ub144", "5023": "14:13\uff5e14:20", "5024": "\uc9c0\ubc29\ud589\uc815\uc5f0\uc218\uc6d0\uc7a5", "5025": "\uc11c\ubd80\uad50\uc721\uccad \uac15\ubd81\uc911\ud559\uad50", "5026": "\ud658\uacbd\u00b7\uad50\ud1b5\uc9c0\ud0b4\uc774, \ubc29\ubc94\uc21c\ucc30 \ub4f1", "5027": "empty", "5028": "\uce60\ubcf4\uacf5\uc608", "5029": "\ucda9\ub0a8\u00b7\ucda9\ubd81\u00b7\ub300\uc804", "5030": "585.86", "5031": "16\uc704", "5032": "\uacf5\ub2e8", "5033": "\ud504\ub7ad\ud074\ub9b0\ud15c\ud50c\ud134\ud22c\uc2e0\uc6b4\uc6a9(\uc8fc)", "5034": "\uc9c0\uccb4\uc7a5\uc560, \uc2dc\uac01\uc7a5\uc560, \uccad\uac01\uc7a5\uc560, \uc5b8\uc5b4\uc7a5\uc560", "5035": "63\uac00\uad6c", "5036": "2", "5037": "empty", "5038": "\uc2dd\uc804\uacf5\uc5f0", "5039": "\uae08\uc735\uc704\uc6d0\ud68c", "5040": "1,000\ub9cc\uc6d0", "5041": "\ubd80\uc0b0\uc11c\ubd80\uc9c0\uc2dd\uc7ac\uc0b0\uc13c\ud130", "5042": "\uc625\uc678\uad11\uace0\ubb3c\uc5d0 \ub300\ud55c \uc9c0\uc5ed\uc790\uc6d0\uc2dc\uc124\uc138 \uc2e0\uc124\ubc29\uc548", "5043": "empty", "5044": "\uc601\uad6d", "5045": "empty", "5046": "\uacfc\ud0dc\ub8cc \uc0ac\uc804\ud1b5\uc9c0\uc11c PDA \ud604\uc7a5 \ubc1c\uae09", "5047": "empty", "5048": "\uc2a4\uc6e8\ub374", "5049": "80%", "5050": "608,116\uba85", "5051": "2\uac1c", "5052": "\uba54\uc77c \uadf8\ub300\uc640", "5053": "\uacbd\uc0c1\ub0a8\ub3c4 \ucc3d\uc6d0\uc2dc", "5054": "\uacb0\ud63c\uc2dd\uc7a5 \ub4f1", "5055": "\ubd84\ubcc0\uc7a0\ud608\ubc18\uc751\uac80\uc0ac", "5056": "\ub310\uac74\uc124\uae30\ubcf8\uacc4\ud68d", "5057": "\ud68c\ubcf5", "5058": "empty", "5059": "\ubcbd\uba74\ub179\ud654", "5060": "38,000\uc6d0", "5061": "\ub300\ud55c\ubbfc\uad6d \uad6c\uc11d\uad6c\uc11d korean.visitkorea.or.kr", "5062": "\ubc14\uc774\uc624\uac00\uc2a4\ud654\uc0ac\uc5c5", "5063": "Step 3", "5064": "\uac15\uc6d0\ub3c4 \ucd98\ucc9c\uc2dc", "5065": "\uac74\uac15\ubcf4\ud5d8\uacf5\ub2e8", "5066": "empty", "5067": "\uad6d\ud68c", "5068": "\uc2dc\uac74\uc7a5\uce58", "5069": "empty", "5070": "empty", "5071": "\ubd80\ub3d9\uc0b0\uac70\ub798\ubd84\uc11d\uae30\ud68d\ubc18", "5072": "\u318d\ubc15\uc0ac\ud559\uc704 \uc18c\uc9c0\uc790", "5073": "\ubc30\uacbd\uc74c", "5074": "\ub300\uc0c1", "5075": "2", "5076": "\uc720\uc5d4\uc758 \ub0a0 \ud589\uc0ac\uc758 \ud0dc\uadf9\uae30 \ubaa8\uc2b5", "5077": "empty", "5078": "\uacbd\ub0a8\uac1c\ubc1c\uacf5\uc0ac", "5079": "empty", "5080": "\uacbd\uc0c1\ub0a8\ub3c4 \uc591\uc0b0\uc2dc", "5081": "\ub3c5\ub9bd\uae30\ub150\uad00", "5082": "\ub300\uc0c1", "5083": "\uad34\uc0b0 \uc218\ub825\ubc1c\uc804\uc18c \uac74\uc124\ud604\uc7a5", "5084": "\ub3c5\ub9bd\uae30\ub150\uad00", "5085": "empty", "5086": "empty", "5087": "0.8\uc774\ud558", "5088": "\u246b\ud48d\uc218\ud574", "5089": "empty", "5090": "\uc784\uae30\uc81c(\uc77c\ubc18\uc9c1) \uace0\uc704\uacf5\ubb34\uc6d0 \ub098\ub4f1\uae09", "5091": "\uc0bf\ud3ec\ub85c \ub85c\uc774\ud1b5 \ud638\ud154", "5092": "1,222\uac1c", "5093": "empty", "5094": "\uc815\ubd80\uae30\uad00", "5095": "\uc190\ud574\ubcf4\ud5d8\ud611\ud68c", "5096": "\ubd80\uc0b0\uc2dc \uacf5\uacf5\ub370\uc774\ud130 \uad00\ub9ac\ubc29\uc548\uacfc IoT\ub97c \ud65c\uc6a9\ud55c \uc218\uc9d1\uc5d0 \uad00\ud55c \uc5f0\uad6c", "5097": "\ub3c4\ubbf8\ub2c8\uce74\uacf5\ud654\uad6d", "5098": "empty", "5099": "45\uac1c\uad6d", "5100": "\ub3c4\uc0b0\uae30\ub150\uad00", "5101": "1977", "5102": "empty", "5103": "\ud2b9\ub840\uc81c\ud55c", "5104": "empty", "5105": "empty", "5106": "empty", "5107": "empty", "5108": "\uad6d\ubbfc\uc5f0\uae08\uac00\uc785\uc815\ubcf4, \uc18c\ub4dd\uc815\ubcf4 \ub4f1", "5109": "empty", "5110": "\ud589\ubcf5COOP\uc544\uce74\ub370\ubbf82014", "5111": "\uc8fc\uc18c\uc9c0 \ub4f1 \uad00\ud560 \uc138\ubb34\uc11c\uc7a5", "5112": "\uc0ac\ub9dd7 \ubd80\uc0c181", "5113": "empty", "5114": "empty", "5115": "\ub0b4\ubb34\ud68c\ub179\uc0c9\uc0ac\ub791\ubd09\uc0ac\ub2e8", "5116": "\ub300\uad6c, \ub300\uc804", "5117": "\uc18c\ubc29\ubc29\uc7ac\uccad", "5118": "\uac1c\uc778 \uc11c\ube44\uc2a4 \uc774\uc6a9\uc728", "5119": "\ub098\ub77c\uae30\ub85d\ubb3c\uc815\ubcf4", "5120": "\ud1b5\uacc4\uccad\ud55c\uad6d\ubb38\ud654\uad00\uad11\uc5f0\uad6c\uc6d0", "5121": "\ubaa8\ubc14\uc77c \uad6d\uac00\uc7ac\ub09c\uc548\uc804\uc815\ubcf4\uc13c\ud130", "5122": "ISEC 2012 \ucee8\ud37c\ub7f0\uc2a4", "5123": "\uc11c\uc6b8\uc6d0\uba85\ucd08\ub4f1\ud559\uad50", "5124": "empty", "5125": "19\uac1c\uc0ac", "5126": "\uad11\uc8fc\uc11c\uc11d\uc911\ud559\uad50", "5127": "empty", "5128": "\ubaa8\ub2c8\ud130\ub9c1, \uccad\uc18c\ub144 \uc720\ud574\ubb3c \uc2e0\uace0", "5129": "\ubd80\uc0b0 \ud559\uc0ac\ucd08\ub4f1\ud559\uad50", "5130": "empty", "5131": "\ub0a8\ub3d9\uad6c", "5132": "\uc2a4\ub9c8\ud2b8\ud3f0/SNS \uc911\ub3c5, \uc9c4\ub2e8\ucc99\ub3c4 \uace0\ub3c4\ud654 \ub4f1", "5133": "\uad6d\ubbfc\uc0dd\ud65c", "5134": "empty", "5135": "\uacf5\ubb34\uc6d0", "5136": "\uad6d\uc5b4, \uc601\uc5b4, \ud55c\uad6d\uc0ac", "5137": "\uae30\uc220\ud589\uc815\uc0ac", "5138": "\ud1a0\ud50c", "5139": "803\ucc9c\uac74", "5140": "empty", "5141": "22\uba85", "5142": "empty", "5143": "\ud568\uc548\uad70", "5144": "\uc790\ub3d9\ucc28 \ubcf4\ud5d8\ub8cc \ube44\uad50\uacf5\uc2dc \uc870\ud68c \uc2dc\uc2a4\ud15c \uad6c\ucd95", "5145": "empty", "5146": "\ud3ec\ud56d\uc2dc", "5147": "\uad50\uc218 \ubd80\uad50\uc218 \uc774\uc0ac", "5148": "\uc8fd\ub9bc\ucd08\ub4f1\ud559\uad50", "5149": "5%", "5150": "102\uba85", "5151": "empty", "5152": "0.5%", "5153": "empty", "5154": "empty", "5155": "800\uc5b5\uc6d0", "5156": "\uc720\uc800 \uc778\ud130\ud398\uc774\uc2a4", "5157": "300\ub9cc\uc6d0 \uc774\ub0b4", "5158": "empty", "5159": "14,416\uba85", "5160": "1991. 5. 8 \u223c 5. 10", "5161": "3\uba85", "5162": "empty", "5163": "empty", "5164": "\uc0b0\uc5c5\ubd80", "5165": "10", "5166": "\uc131\uade0\uad00\ub300", "5167": "empty", "5168": "empty", "5169": "92.0%", "5170": "Massive MIMO \uae30\uc220", "5171": "\uad6d\ud1a0\ubd80", "5172": "\uae30\uc220\ubcf4\uc99d\uae30\uad00 \ud22c\uc790\uae30\uad00", "5173": "\ud654\uacf5\uc0dd\uba85\uacf5\ud559\ubd80", "5174": "14\uac74", "5175": "7\uac1c\uc6d4", "5176": "\ud55c\uad6d\uc804\ud1b5\uc9c0\uc2dd\ud3ec\ud0c8", "5177": "35\ud68c", "5178": "2011. 12. 1(\ubaa9) 10:30 COEX Hall A \uc785\uad6c", "5179": "\uc911\uc18c\uae30\uc5c5\ubd84\uacfc", "5180": "5\uac1c", "5181": "\uc8fc\uc2dd\ud68c\uc0ac \ub450\ubc30\uc2dc\uc2a4\ud15c", "5182": "empty", "5183": "\ud638\uae30\uc2ec \ud1a1\ud1a1 \ucc3d\uc758\uad50\uc2e4", "5184": "\uc624\uc874 \ubc1c\uc0dd\uc6a9 \uc790\uc678\uc120 \ub7a8\ud504", "5185": "empty", "5186": "\uad6d\uc81c\ucd9c\uc6d0\uacfc", "5187": "145", "5188": "\ud654\uc7a5\uc2e4 \uc2ac\ub9ac\ud37c \ud68c\uc804 \uc815\ub9ac\ud310", "5189": "\ub300\uad6d\ubbfc \uc124\uba85\ud68c \uc2e4\uc2dc", "5190": "\ub9c8\uc774\ud06c\ub85c\uc18c\ud504\ud2b8", "5191": "1000\uba85", "5192": "1995\ub144", "5193": "\uc2ec\uc0ac\uad00 \ud569\uc758\uccb4", "5194": "12\uac74, \uc18c\uc1a1 9\uac74 21\uac74", "5195": "2~11\uc6d4", "5196": "empty", "5197": "\uc8fc\ubcc0 SIP \ucc3d\ucd9c", "5198": "\uc5d8\uc9c0\uc804\uc790(\uc8fc)", "5199": "empty", "5200": "\uc6b0\ub9ac\uc740\ud589", "5201": "5\uc6d4, 11\uc6d4", "5202": "MBA\uacfc\uc815", "5203": "\uc790\uc720\uac8c\uc2dc\ud310", "5204": "13,785\uac74", "5205": "\uad8c\ub9ac\ubc94\uc704\ud655\uc778\uc2ec\ud310", "5206": "(\uc8fc)\uc0bc\uc190", "5207": "empty", "5208": "\uc2dc\uc624\uc2a4", "5209": "\uc548\uc2ec\ud611\ub3d9\uc870\ud569 \ub9c8\uc744\uae30\uc5c5", "5210": "empty", "5211": "\ud06c\ub85c\uc2a4\uc0ac\uc774\ud2b8\uc2a4\ud06c\ub9bd\ud2b8", "5212": "empty", "5213": "\ub110(Null) \ud3ec\uc778\ud130 \uc5ed\ucc38\uc870", "5214": "DNS lookup\uc5d0 \uc758\uc874\ud55c \ubcf4\uc548\uacb0\uc815", "5215": "\ub514\uc790\uc778\u201d, \u201c\ub514\uc790\uc778\ubcf4\ud638\ubc95\u201d \ub4f1", "5216": "\uc81c\uc77c\ud654\uc7ac\ud574\uc0c1\ubcf4\ud5d8", "5217": "\uacbd\uc7c1\uc0ac\uc5c5\uc790\ub85c \ud55c\uc815(13\uc870) \ub2e4\ub978\uc0ac\uc5c5\uc790", "5218": "\u321c\uc9c4\ud654\uae30\uc220\uacf5\uc0ac", "5219": "7\ub144", "5220": "\uc2a4\uc704\uc2a4", "5221": "3\uc5b5 \uc6d0\uc774\uc0c1 5\uc5b5 \uc6d0\uc774\ud558", "5222": "20\uc77c", "5223": "empty", "5224": "\ucd5c\uc6b0\uc218\uc0c1", "5225": "9290020053", "5226": "empty", "5227": "\uc544\uc774\ub514\uc5b4 \ubb38\ud654\uc0c1\ud488\uc73c\ub85c \uac00\ub4dd\ud55c \ub9cc\ubb3c\uc0c1 (\ubba4\uc9c0\uc5c4\uc0f5) \uc6b4\uc601", "5228": "\uc790\ub3d9\ucc28\uc758 \uc5d4\uc9c4, \ud720, \ud2b8\ub79c\uc2a4\ubbf8\uc158, \uacf5\uc870\ubd80\ubd84 \ub4f1\uc5d0 \uc0ac\uc6a9", "5229": "15.6%", "5230": "\uc548\uc804\uc2dc\uc124", "5231": "empty", "5232": "empty", "5233": "2\uc810", "5234": "\uc2e0\uc2dc\uc7a5 \ucc3d\ucd9c", "5235": "empty", "5236": "\uac70\uc810Wee \uc13c\ud130", "5237": "empty", "5238": "1,136\uc5b5\uc6d0", "5239": "empty", "5240": "680", "5241": "22\uc138", "5242": "\uc591\uc9c0\uc911\ud559\uad50", "5243": "\ud55c\ubd80\ubaa8\uac00\uc871\ubcf4\ud638\ub300\uc0c1\uc790", "5244": "39\uba85", "5245": "\ub300\uad6c\uc9c0\ubc29\uacf5\uc815\uac70\ub798\uc0ac\ubb34\uc18c", "5246": "(\uc8fc)\uc5d4\ub77c\uc774\ud504", "5247": "\ud604\uc7a5 \uc548\uc804", "5248": "3\ub144", "5249": "empty", "5250": "empty", "5251": "856\uc5b5\uc6d0", "5252": "empty", "5253": "\uacf5\uacf5\uccad\uc0ac\uae30\ud68d\uacfc", "5254": "160\ubc31\ub9cc\uc6d0", "5255": "empty", "5256": "\uace0\ub824 \uc131\ub9bd ~ \uc870\uc120 \uac74\uad6d \uc774\uc804", "5257": "\uc5f0\uba74\uc801 14,630\u33a1, \ubd80\uc9c0\uba74\uc801 35,780\u33a1", "5258": "\uc9c4\ub85c\uc2ec\ub9ac\uac80\uc0ac \uba54\ub274\uc5bc, \uc9c4\ub85c\uc0c1\ub2f4 \uc6b4\uc601 \ub9e4\ub274\uc5bc", "5259": "12.4%", "5260": "empty", "5261": "250\ub9cc\uc6d0", "5262": "empty", "5263": "empty", "5264": "2\ub144", "5265": "\ud55c\uad6d\uac1c\ubc1c\uc5f0\uad6c\uc6d0", "5266": "\ucf54\ub12c\ub300 \ub1cc\uacfc\ud559\uc5f0\uad6c\uc18c", "5267": "empty", "5268": "\uc720\uc5f0\uadfc\ubb34\uc81c", "5269": "1600.00", "5270": "\ub300\ud559\ub300\ud45c(4\uba85), \uac15\uc0ac\ub300\ud45c(4\uba85), \uad50\uc721\ubd80 \uad00\uacc4\uc790", "5271": "66.6%", "5272": "\uc131\uade0\uad00\ub300", "5273": "\ud589\uc815\uc911\uc2ec\ubcf5\ud569\ub3c4\uc2dc\uac74\uc124\uccad", "5274": "empty", "5275": "\ud589\ubcf5\ub3c4\uc2dc \ud55c\ubb38\ud654\ub2e8\uc9c0 \uc0ac\uc5c5\uc5d0 \ub300\ud55c \uc5f0\uad6c\ud611\ub825", "5276": "156\uac1c", "5277": "\uc0b0\ub2e8\uacf5 \uc8fc\uc548\ubd80\ud3c9\uc9c0\uc0ac", "5278": "70%", "5279": "\ud3d0\ud50c\ub77c\uc2a4\ud2f1 \uc7ac\ud65c\uc6a9", "5280": "\ucd08\ub4f1", "5281": "empty", "5282": "empty", "5283": "\ub18d\ud6c4\ubc1c\ud6a8\uc720 \uc720\uc0b0\uade0\uc218 \uae30\uc900(1\uc5b5/ml \uc774\uc0c1) \uc801\ud569 \ud655\uc778", "5284": "1-1\uc0dd\ud65c\uad8c L1", "5285": "\uc790\ubcf8\uc2dc\uc7a5\ubc95", "5286": "empty", "5287": "empty", "5288": "2014\ub144~2017\ub144 4\ub144 \uae30\uac04", "5289": "3", "5290": "\uc0dd\ud0dc\uac74\ucd95 \ub9c8\uc744", "5291": "9,795\uc138\ub300", "5292": "\ucd95\uad6c, \ub18d\uad6c, \ud53c\uad6c, \ud0c1\uad6c, \ubc30\ub4dc\ubbfc\ud134, \ub304\uc2a4, \ubcfc\ub9c1", "5293": "JST", "5294": "\ub098\uc131\ub9ac", "5295": "18.1", "5296": "\ucd1d 5\uba85", "5297": "\ub3c4\ub2f4\ub3d9", "5298": "16\uba85", "5299": "17.8%", "5300": "6/1,124", "5301": "4\ub9cc\uba85", "5302": "empty", "5303": "11.8%", "5304": "empty", "5305": "empty", "5306": "356\uba85", "5307": "81.5%", "5308": "\ub3c4\uc2dc\uc131\uc7a5 \ucd09\uc9c4\uacfc", "5309": "\uac74\ucd95\uacfc", "5310": "1.6 \uc774\uc0c1 2.4 \ubbf8\ub9cc", "5311": "27\ub9cc\uc6d0", "5312": "\ucd1d 16\uba85", "5313": "\uc9c0\uccb4\uac00 \uc880 \uae34 \uc0c1\ud0dc", "5314": "\ucd1d 13\uba85", "5315": "5\uba85", "5316": "1,343\ub9cc\uba85", "5317": "empty", "5318": "2018\ub144", "5319": "empty", "5320": "70%", "5321": "\ub098\ubb34\uc5ec\uacfc\uc7a5\uce58", "5322": "empty", "5323": "10\uac1c\uc18c", "5324": "\ud3ec\ucc9c\uc2dc", "5325": "\uad6d\ud1a0\ud574\uc591\ubd80", "5326": "\ub300\uc0c1\uad50\ucc28\ub85c", "5327": "\uae30\uc7ac\ubd80", "5328": "100\uc5b5", "5329": "2014.4.17. ~ 4.20.", "5330": "empty", "5331": "5\uc5b5", "5332": "empty", "5333": "\ud559\uc0dd\uc9c0\uc6d0", "5334": "empty", "5335": "\ud2b9\uc131\ud654 \uacc4\ud68d\uc758 \uc218\ub9bd, \ucd94\uc9c4, \uc131\uacfc", "5336": "empty", "5337": "\uc720\uc131\uad6c", "5338": "7\ubc88\ubc29\uc758 \uc120\ubb3c", "5339": "\ubca0\ud2b8\ub0a8", "5340": "6.3", "5341": "B\uc704\uce58", "5342": "10.87%", "5343": "\uae08\uc735\uac10\ub3c5\uc6d0", "5344": "\uc138\uc885\ud638\uc218\uacf5\uc6d0", "5345": "4\ud68c", "5346": "empty", "5347": "\uae30\uc220\ud45c\uc900\uc6d0", "5348": "\uc720\uce58\uc6d0 \ubc0f \uacf5\uc2dc \ub370\uc774\ud130 \uc870\ud68c\u00b7\uac80\uc0c9 \uc704\uc8fc\uc758 \ud654\uba74", "5349": "\uacf5\uacf5\uae30\uad00\uc758 \uc8fc\uc694\uc0ac\uc5c5\ubcc4 \uacc4\ud68d\u00b7\ud65c\ub3d9\u00b7\uc131\uacfc\ub97c \uc885\ud569\uc801\uc73c\ub85c \ud3c9\uac00", "5350": "2012\ub144 ~ 2020\ub144", "5351": "90%", "5352": "empty", "5353": "2\uc870", "5354": "\ub300\uc804 \uc678\uc0bc\ub3d9", "5355": "empty", "5356": "\ud55c\uad6d\uc815\ucc45\ubc29\uc1a1\uc6d0 \uc6b0\uc815\uc0ac\uc5c5\ubcf8\ubd80", "5357": "\uace0\ub824\ub300\ud559\uad50", "5358": "\ubb38\ud654\u2981\uc608\uc220\uc2dc\uc124", "5359": "720", "5360": "\uc6c5\uc9c4\uc694\ub9ac \uc9c1\uc5c5\uc804\ubb38\ud559\uad50", "5361": "\ucda9\ubd81\ub300\ud559\uad50 \ud559\uc5f0\uc0b0\uacf5\ub3d9\uae30\uc220\uc5f0\uad6c\uc6d0 1\uce35 \uae30\uc5c5\uac00\uc815\uc2e0 \uce74\ud398", "5362": "empty", "5363": "80\uba85", "5364": "60\uac00\uad6c", "5365": "\ud300\uc7a5 \uc18c\uc18d \ub300\ud559 \uc18c\uc7ac\uc9c0 \uad8c\uc5ed \uad6c\ubd84\uc5c6\uc774 \u201c\uc804\uad6d\u201d\ub2e8\uc704\ub85c \uc2e0\uccad", "5366": "49\uba85", "5367": "empty", "5368": "empty", "5369": "\uacbd\uc0c1\ub300 \uc2dd\ud488\uacf5\ud559\uacfc", "5370": "\u2218\uc6b0\uc8fc\uce21\uc9c0\uae30\uc220", "5371": "20\uac1c\uc18c", "5372": "\uad50\uc721\uc6a9 \uad50\uc7ac \uc81c\uc791 \ubc0f \ud0a4\ud2b8 \ubc30\ud3ec, \ub3c4\uc11c\uc0b0\uac04\uc9c0\uc5ed \uc120\uc0dd\ub2d8 \uad50\uc721", "5373": "empty", "5374": "empty", "5375": "\ubbf8\uad6d", "5376": "2012\ud559\ub144\ub3c4\uc785\ud559\uae30\uc900", "5377": "empty", "5378": "empty", "5379": "\uad6d\ud1a0\uad00\ub9ac\uae30\ub2a5", "5380": "\uad50\uc721\uc778\uc801\uc790\uc6d0\ubd80, \ubb38\ud654\uad00\uad11\ubd80", "5381": "\ud658\uc2b9\uc8fc\ucc28\uc7a5", "5382": "\ud1a0\uc9c0\uacf5\uc0ac, \uc8fc\ud0dd\uacf5\uc0ac, \ubbfc\uac04", "5383": "empty", "5384": "\ud55c\uc6b8", "5385": "empty", "5386": "333\uba85", "5387": "105,000\uc6d0", "5388": "70,494\uc6d0", "5389": "\ubbf8\ubc31\uc131\ubd84 \ud568\ub7c9", "5390": "\ud601\uc2e0\ub3c4\uc2dc", "5391": "\uc9c0\uc5ed\ucee4\ubba4\ub2c8\ud2f0\uacf5\uac04\uc73c\ub85c \ud65c\uc6a9\uac00\ub2a5", "5392": "2%", "5393": "\ub9ac\uc6a9, \ubb8c\uc2a4\ud130", "5394": "\ud751\uccb4\ub97c \uc774\uc6a9\ud55c \uc628\ub3c4 \uc815\ud655\ub3c4 \ud655\uc778", "5395": "empty", "5396": "\ubabb\uc790\ub9ac \ub4f1 \uc18c\ud615 \ud130\ub110 \ud53c\ubcf5", "5397": "\uad6d\ubb34\ucd1d\ub9ac", "5398": "40\uba85", "5399": "\uace0\ub4f1\uc5b4", "5400": "\ud578\ub4dc\ud3f0", "5401": "\ucef5", "5402": "\uc751\uc6a9 \uc18c\ud504\ud2b8\uc6e8\uc5b4 \uac1c\ubc1c/\uacf5\uae09 \ub4f1", "5403": "\uc81c\ud488\ubcc4 \uad6c\uc785\uac00", "5404": "\ud004\ucef4", "5405": "\ub450\uc0b0\uc911\uacf5\uc5c5\u321c", "5406": "\uac00\uc2a4\uc2dd", "5407": "empty", "5408": "66\uac1c\uc0ac", "5409": "\uc0bc\uc131\uc804\uc790", "5410": "\uae00\ub798\uc2a4\ub7f0", "5411": "\ud3f4\ub9ac\uc5d0\uc2a4\ud130100", "5412": "empty", "5413": "\uc2dd\uc0ac\ub300\uc6a9", "5414": "empty", "5415": "\ubd88\uac80\ucd9c", "5416": "\ubcf4\uc99d \uc218\ub9ac \ucd09\uc9c4\ube44 \ubd80\uacfc", "5417": "empty", "5418": "3\ub144", "5419": "25,471\uac1c", "5420": "\uc720\ud1b5\uc5c5\ubc95", "5421": "\uba78\uce58\uce7c\uad6d\uc218", "5422": "\u25aa\uacfc\ud559\uae30\uc220\ubd84\uc57c \uc5f0\uacc4 \uc9c4\ub85c \ud65c\ub3d9 \uc9c0\uc6d0", "5423": "\uc99d\uad8c\uac70\ub798\ubc95", "5424": "\uc815\ubcf4\uacf5\uac1c\uc11c", "5425": "95\uc810", "5426": "empty", "5427": "\uac70\uc9d3 \ud6c4\uae30", "5428": "\uc0c1\ubc95", "5429": "\uc911\uad6d", "5430": "\u321c\uc544\uce68\uc560\uc544\uce68\uc5d0", "5431": "\uac10\uc804\ubcf4\ud638", "5432": "8", "5433": "\ud3b8\uc758\uc810", "5434": "18.69", "5435": "TRICAT", "5436": "\ud55c\uad6d\ub0a8\ub3d9\ubc1c\uc804 \ubc0f \ud55c\uad6d\uc218\ub825\uc6d0\uc790\ub825", "5437": "\ud55c\uc77c\ud604\ub300\uc2dc\uba58\ud2b8\u321c", "5438": "75,000\uc6d0", "5439": "\uc7a5\u00b7\ub2e8\uc810", "5440": "empty", "5441": "\uc870\ub2ec\uccad", "5442": "30\uc77c", "5443": "\ubcf4\uac74 \ubcf5\uc9c0\ubd80", "5444": "\uc120\uc81c\uc801 \uc18c\ube44\uc790\uc548\uc804 \ud655\ubcf4", "5445": "\ub300\ub9ac\uc810\uc758 \ud655\uc778 \uc694\uccad \ubc0f \uc774\uc758\uc81c\uae30\uc5d0 \ub300\ud55c \uacf5\uae09\uc5c5\uc790\uc758 \uc870\uce58\uc758\ubb34 \ubd80\uacfc", "5446": "\uc9d1\ud589", "5447": "12\uac74", "5448": "\ub300\uc6b0\uac74\uc124, \ud55c\uad6d\uc9c0\uc5e0", "5449": "\uc548\ub8cc \uc81c\uc870", "5450": "8\uc6d4", "5451": "\uc9c0\uc790\uccb4 \ubd84\uc7c1\uc870\uc815\ud611\uc758\ud68c", "5452": "empty", "5453": "\uac00\ub9f9\ubcf8\ubd80", "5454": "\ud544\ub9bd\uc2a4", "5455": "\ub3c5\uc77c", "5456": "\u321c\uba54\ud0c0\ub137\uc778\ud130\ub799\ud2f0\ube0c", "5457": "General Electric Co. \u25b8\ubbf8\uad6d\ub0b4", "5458": "\ud0dd\ubc30\ubd84\uc2e4 \ub4f1\uc5d0 \ub530\ub978 \uc18c\ube44\uc790 \ubcf4\uc0c1\uac00\uc561 \ud604\uc2e4\ud654", "5459": "empty", "5460": "\uc5d0\uc2a4\ucf00\uc774, \ud55c\ud654", "5461": "\ub3c4\uc5b4 \uac1c\ud3d0\uc758 \uad6c\uc870\uc801 \uc548\uc804\uc131 \ud655\uc778", "5462": "\ud55c\uad6d\uc554\uc6e8\uc774\u321c", "5463": "empty", "5464": "\ud488\uc9c8", "5465": "\ud648\uc2a4\ud0c0 \uc695\uc2e4\uc6a9 \uc138\uc815\uc81c((\uc8fc)\uc5d8\uc9c0\uc0dd\ud65c\uac74\uac15)", "5466": "51.1%", "5467": "\ubd80\uc0b0 \uc61b\ub0a0\uc5b4\ubb35", "5468": "2012\ub144 \uc774\ud6c4 \ubcc0\uacbd\ub41c \uc0ac\ud56d\uc744 \ubaa8\ub450 \uc218\uc815\ud558\uc5ec \uc218\ub85d", "5469": "2016\ub144 4/4\ubd84\uae30", "5470": "\ub514\uc9c0\ud138 \uad50\uacfc\uc11c \ub4f1\uc744 \ud65c\uc6a9\ud55c \ubbf8\ub798\uad50\uc2e4", "5471": "\ub2e4\uc774\uc2a8 \uacf5\uae30\uccad\uc815 \uc120\ud48d\uae30", "5472": "111.2%", "5473": "2.2 \uc774\uc0c1", "5474": "1588-7234", "5475": "empty", "5476": "\ubb34\uac8c, \ubcf4\uc720\uae30\ub2a5 \ub4f1 \uc81c\ud488\ud2b9\uc131 \ud655\uc778", "5477": "\ud45c\uc900\uc0c1\ud488 3\uac1c\u223c5\uac1c", "5478": "9.9", "5479": "\uc0ad\uc81c", "5480": "\ud0dc\uad11", "5481": "\uacbd\uc7c1 \uc785\ucc30\u201d\ubc29\uc2dd", "5482": "empty", "5483": "\uc640\uc6b0 \ud328\ubc00\ub9ac UP", "5484": "\uc0c1\uc7a5\ud68c\uc0ac", "5485": "\uc258 \ud53c\ud2b8\uc778 \ud3f4\ub354\ub9e4\ud2b8 205", "5486": "empty", "5487": "empty", "5488": "empty", "5489": "2017", "5490": "\uacbd\ub0a8 \ucc3d\uc6d0", "5491": "\uc9c0\uc8fc\ud68c\uc0ac \uccb4\uc81c \ub0b4 \ub0b4\ubd80\uac70\ub798 \ub0b4\uc5ed", "5492": "empty", "5493": "\uc774\uac11\uc218", "5494": "empty", "5495": "35\uac74", "5496": "\ucf54\ucf54\ubabd \uc0ac\uacfc", "5497": "empty", "5498": "100\uba85", "5499": "\uc54c\ub8e8\ubbf8\ub284", "5500": "\uc758\ub8cc\uae30\uae30 \uae30\uc900\uaddc\uaca9", "5501": "\uc81c\uc7ac \ub2e8\uacc4", "5502": "empty", "5503": "\ubca4\uc2dc\ubabd", "5504": "\ud658\uacbd\uc6d0\uc608\ud559\uacfc", "5505": "500", "5506": "\uc5d0\uc2a4\ucf00\uc774", "5507": "empty", "5508": "\uc2e4\uc81c \uc9c0\ubb38", "5509": "\uc678\uad6d\uc5b4", "5510": "\ub300\ub9bc\uc120 \uc655\uad50\uc790", "5511": "\ucf54\uc6f0\uc2dd\ud488\u321c/\u321c\ud314\ub3c4", "5512": "\ub300\ub9ac\uc810 \uc0b0\uc5c5 \uc804\ubc18 \uc2e4\ud0dc\uc870\uc0ac", "5513": "1.6\ubc30", "5514": "SV10", "5515": "50%", "5516": "4H", "5517": "16", "5518": "SV10", "5519": "\uc548\uc804\ud655\uc778\ubc88\ud638, \uc5f0\ub77d\ucc98, \uc8fc\uc758\uc0ac\ud56d \ub4f1 \ud45c\uc2dc\uc0ac\ud56d\uc744 \ud655\uc778", "5520": "\uc8fc\uc8fc\uc758 \uc9d1\uc911\ud22c\ud45c \uccad\uad6c\uc694\uccad \uc5c6\uc74c", "5521": "empty", "5522": "11. 17.(\ubaa9)", "5523": "6,618\ubc31\ub9cc\uc6d0", "5524": "2", "5525": "USD 300 \ubc30\uc0c1 - USD 600 \ubc30\uc0c1", "5526": "\ubbf8\uad6d \ub3c5\uc77c", "5527": "empty", "5528": "\ub098\uc774\ud0a4", "5529": "empty", "5530": "5,695\ubc31\ub9cc\uc6d0", "5531": "empty", "5532": "\uae30\uc7ac\ubd80", "5533": "\uc911\uad6d \uacbd\uc7c1\uc815\ucc45\uacfc \uacbd\uc81c\ubc1c\uc804", "5534": "\uc778\ud130\ub137 \uccad\uc18c\uc758 \ub0a0 \uc6b4\uc601, \uc0ac\uae30\ubc29\uc9c0\uc758 \ub2ec \uc6b4\uc601 \ub4f1", "5535": "empty", "5536": "empty", "5537": "\uc77c\ubcf8\uc815\uacf5", "5538": "\ubc95 \uc704\ubc18 \uae08\uc561", "5539": "\uc2dd\ud488\uacf5\uc804 \uc77c\ubc18\uc131\ubd84\uc2dc\ud5d8\ubc95\uc758 \ubbf8\ub7c9\uc131\ubd84\uc2dc\ud5d8\ubc95\uc5d0 \uc758\ud574 \uc2dc\ud5d8\ud568", "5540": "2.4 \uc774\uc0c1", "5541": "empty", "5542": "\ucf00\uc774\ud2f0\uc564\uc9c0", "5543": "empty", "5544": "\uc18c\uc544\uc9c4\ub8cc\uac00\ub2a5 \uc758\uc0ac", "5545": "\uc2e0\uc784\uad50\uc0ac \uba58\ud1a0\ub9c1", "5546": "3\ucc9c\ub9cc\uc6d0 \ucd08\uacfc 4\ucc9c\ub9cc\uc6d0\uae4c\uc9c0", "5547": "empty", "5548": "\uc815\ub144 \uc5c6\uc74c", "5549": "empty", "5550": "empty", "5551": "\ud654\ubb3c\uc6a9, \ubcd1\uc6d0\uc6a9, \uc800\uce35\uc544\ud30c\ud2b8", "5552": "100m", "5553": "SG(Soft Ground) \uc2a4\ud130\ub4dc \ubb3c\uae30\uac00 \ub9ce\uace0 \uc794\ub514\uac00 \uae34 \ucc9c\uc5f0\uc794\ub514\uc6a9", "5554": "4.0~9.0", "5555": "\uc81c17\uc870", "5556": "\ub354\ube14\ud53c\uce58 \uccb4\uc778", "5557": "\uad50\uc721\uacfc\ud559\uae30\uc220\ubd80", "5558": "10%", "5559": "\u321c\uc6b0\uacf5\uc0ac", "5560": "empty", "5561": "\ud669\uc81c \uc6d0\uc801\uc678\uc120 \uc138\ub77c\ubbf9 \ucc1c\uc9c8\uae30", "5562": "12\ub9cc\ub300", "5563": "empty", "5564": "\uc790\uc0b0, \uc790\ubcf8, \ubd80\ucc44, \ubd80\ucc44 \ube44\uc728, \uc790\uae30 \uc790\ubcf8 \ube44\uc728, \ubc95 \uc704\ubc18 \ud69f\uc218", "5565": "empty", "5566": "\u321c\uc2e0\uc138\uacc4\ud504\ub77c\ud37c\ud2f0", "5567": "empty", "5568": "empty", "5569": "\ucda9\uc804\uae30\ub85c \ubcf5\uadc0\ud558\ub294 \uc790\ub3d9\ucda9\uc804 \uc2dc\ub3c4 \ud69f\uc218 \ub300\ube44 \uc131\uacf5\ub960\uc744 \ud655\uc778\ud558\uc5ec \ud3c9\uac00", "5570": "\uc2dc\uc7a5\uc9c0\ubc30\uc801\uc9c0\uc704 \ub0a8\uc6a9, \ub2f4\ud569, \uacbd\uc7c1\uc81c\ud55c\uc801 M&A \ub4f1 \uc2dc\uc815", "5571": "\ub3c5\uc77c", "5572": "\uc5f0\ub9c8", "5573": "\ud604\ub300\uac74\uc124", "5574": "\ub300\uc6b0\uac74\uc124", "5575": "16.6\uc870\uc6d0", "5576": "\uc11c\uc6b8\ub300 \ubc95\uacbd\uc81c\ud559\uc5f0\uad6c\ud68c", "5577": "\uc911\ubd80\uc218\ub3c4", "5578": "\ub300\ub9bc\uc5d0\ub108\uc9c0", "5579": "\ud63c\ud569\uac04\uc7a5", "5580": "\ud3f4\ub9ac\ub374\ud2b8 5\ubd84 \ud074\ub9ac\ub2dd\uc815, \ud53c\ud2f0\ub374\ud2b8\uba54\uac00\ud074\ub9b0\uc9d5\uc815", "5581": "\uc2e4\uc81c\uc6a9\ub7c9", "5582": "\uae30\ud6c4\ubcc0\ud654 \ubc0f \ucc9c\uc7ac\uc9c0\ubcc0", "5583": "\uc57d\uc9c4\ud640\ub529\uc2a4\u321c", "5584": "empty", "5585": "1\uba85", "5586": "1\uc5b5 \uc6d0\uc774\uc0c1 3\uc5b5 \uc6d0\ubbf8\ub9cc", "5587": "empty", "5588": "106\ub9cc \uba85", "5589": "\uad50\ucd0c\ub808\ub4dc\uc624\ub9ac\uc9c0\ub0a0", "5590": "113\uac1c", "5591": "\ud569\ub9ac\uc801 \uace0\ub824\ub098 \ube44\uad50 \uc5c6\ub294 \uc0c1\ub2f9\ud55c \uaddc\ubaa8\uc758 \uac70\ub798", "5592": "\uc528\uc704\ub4dc", "5593": "\uace0\ube44\uc6a9 \ud63c\ub840\ubb38\ud654 \uac1c\uc120", "5594": "\uc9d1\ub2e8 \uc18c\uc18d\ud68c\uc0ac\ub294 \uc911\uc18c\uae30\uc5c5\uc758 \ubc94\uc704\uc5d0\uc11c \uc81c\uc678", "5595": "930\uc6d0", "5596": "\uacfc\ud559\ud0d0\uad6c", "5597": "empty", "5598": "empty", "5599": "\ub3d9\ubb3c\ubcd1\uc6d0", "5600": "\uc11c\uc6b8\ud2b9\ubcc4\uc2dc", "5601": "\uc77c\ubc18\uacf5\uac1c\uacbd\uc7c1\uc785\ucc30", "5602": "USD 100 \ubc30\uc0c1 - USD 200 \ubc30\uc0c1", "5603": "90\uc77c\ub0b4", "5604": "\uc0ac\uc6a9\uc608\uc815\uc77c\ub85c\ubd80\ud130 2\uac1c\uc6d4 \uc804 \uc774\uc804 \ucde8\uc18c", "5605": "\ub9de\ucda4\ud615 \uc815\ubcf4\uc81c\uacf5", "5606": "\ub300\uae30\uc5c5\uc9d1\ub2e8\uc815\ucc45", "5607": "\uc0c1\uc7a5\ud68c\uc0ac", "5608": "\uccad\uc57d\uc81c\ub3c4", "5609": "306", "5610": "\ub125\uc2a8", "5611": "2015. 12. 3.", "5612": "\uc2a4\ud30c\ud06c \ucc2c\ubb3c\uc804\uc6a9\uac15\ub825\uc138\ucc99", "5613": "empty", "5614": "\ud45c\uc900\uc57d\uad00 \ud45c\uc9c0\ubd80", "5615": "30% \uc774\uc0c1", "5616": "150\ucc9c\uc6d0", "5617": "\ud55c\uc591\ub300", "5618": "empty", "5619": "\ube44\ube44\ud050", "5620": "empty", "5621": "30\uc77c", "5622": "19\uac1c\uc0ac", "5623": "\uc804\uc561 \ud658\uae09", "5624": "\uc2dd\uc911\ub3c5 \uc0ac\uace0 \uc608\ubc29\uc744 \uc704\ud574 \uc678\ubd80 \uc74c\uc2dd \ubc18\uc785\uc744 \uc77c\uccb4 \uae08\uc9c0\ud55c\ub2e4.", "5625": "\uad6d\ud1a0\ud574\uc591\ubd80", "5626": "3\ub144", "5627": "\uc6d0\ubd80\uc790\uc7ac \ucd9c\uace0\ub7c9 \ube44\uc728", "5628": "empty", "5629": "\uac00\ucc9c\ud559\uc6d0", "5630": "2014.10.23.", "5631": "\ucd5c\uc6b0\uc218", "5632": "empty", "5633": "220\ubd84", "5634": "\uacc4\uc18d \uc6b4\uc601", "5635": "empty", "5636": "12\uac74", "5637": "\ud638\uc8fc \uacbd\uc7c1\uc18c\ube44\uc790\uc704\uc6d0\ud68c", "5638": "empty", "5639": "\uc911\ud765\uac74\uc124", "5640": "\uacf5\uc815\uac70\ub798\ubc95 \uc81c11\uc870\uc758 4", "5641": "empty", "5642": "\ub3d9\uad6d\ub300", "5643": "\uc18c\ube44\uc790\uae30\ubcf8\ubc95", "5644": "255\uc5b5\uc6d0", "5645": "empty", "5646": "9\uc810\u219211\uc810", "5647": "empty", "5648": "62.8%", "5649": "Q\ub4f1\uae09", "5650": "\ucf54\ub2dd\uc815\ubc00\uc18c\uc7ac", "5651": "\ud604\ub300\ubc31\ud654\uc810", "5652": "BNF\ud1b5\uc0c1", "5653": "empty", "5654": "33\ub9cc\uc6d0", "5655": "33.03%", "5656": "\uc720\uace8\uc774 \uc548\uce58\ub41c \uacbd\uc6b0\uc640 \uacc4\uc57d\uae08\uc774 \ub0a9\uc785\ub41c \uc548\uce58\ub2e8\uc758 \uad6c\uc870\ub97c \ubcc0\uacbd\ud560 \uacbd\uc6b0", "5657": "\uc790\ub3d9\ucc28\uc6d0\ub3d9\uae30\uc758 \uc7ac\uc0dd\uc815\ube44", "5658": "\ud68c\uc804 \uc9c4\ub3d9\uc2dd", "5659": "\uc5d0\uc2a4\ud2f0\uc5d1\uc2a4\uc5d0\ub108\uc9c0", "5660": "\uc0ac\uc5c5\uc790\uac00 \uc791\uc131\ud55c \uc870\uc0ac\ud45c \uc810\uac80", "5661": "\ub098\ud2b8\ub968", "5662": "\uc2dd\ud488\uc758 \ud45c\uc2dc\uae30\uc900 \uc0c1\uc138 \ub0b4\uc6a9 \ubcf4\uc644", "5663": "\ud63c\ud569", "5664": "empty", "5665": "\uc2e0\ub77c\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1(\uc8fc)", "5666": "30\uc77c", "5667": "\uc11c\uc6b8\ub300", "5668": "\uc751\ubaa8\uc790", "5669": "AD-1514B", "5670": "\uacbd\uc7c1\uc815\ucc45", "5671": "\uc5d0\uc2a4\ucf00\uc774\uc99d\uad8c", "5672": "\ub514\uc544\uc774\ud53c\ud640\ub529\uc2a4", "5673": "empty", "5674": "20kg \uc774\ub0b4", "5675": "\uccad\uc0c91\ud638", "5676": "empty", "5677": "empty", "5678": "\ubc1c\ud589\uc774\uc728 \uacbd\uacfc\uc774\uc790", "5679": "\uc6d0\uc7ac\ub8cc \ubc0f \uc6d0\uc0b0\uc9c0, \uc601\uc591\uc131\ubd84 \ud45c\uc2dc \uc2e4\ud0dc \uc870\uc0ac", "5680": "\ucc28\uc885\ubcc4 \uc5d4\uc9c4\uc624\uc77c \uad50\ud658 \uac00\uaca9\ud45c", "5681": "\ub099\ucc30", "5682": "\uc18c\uc15c\ucee4\uba38\uc2a4 \uc18c\ube44\uc790 \ubcf4\ud638 \uc790\uc728\uc900\uc218 \uc9c0\uce68", "5683": "\uc218\uc775\uc131", "5684": "\uc0bc\uc131SDS\u321c", "5685": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "5686": "empty", "5687": "16.5%", "5688": "70%", "5689": "\uac00\ub9f9\ubcf8\ubd80", "5690": "3\uc77c \uc774\ud6c4", "5691": "empty", "5692": "\uccad\uc18c\ub144, \ub300\ud559\uc0dd", "5693": "\ub0a8\uc591\uac74\uc124", "5694": "2.2 \uc774\uc0c1", "5695": "\uc2e4\uc9c8\uc801\uc778 \uc18c\ube44\uc790\uc758 \ud6c4\uc0dd\uc99d\uc9c4", "5696": "\ubca0\ud2b8\ub0a8", "5697": "\uc5fc\uc218\ubd84\ubb34\uc2dc\ud5d8", "5698": "\uc790\ub9c9\uad11\uace0", "5699": "empty", "5700": "\uc0bc\uc131, \ubbf8\ub798\uc5d0\uc14b", "5701": "50%", "5702": "CU", "5703": "\uc2e4\uc2b5\uad50\uc721", "5704": "90%", "5705": "\u2033", "5706": "\uc7ac\ubb34\uc81c\ud45c \ub300\uc2e0 \ubd80\uac00\uac00\uce58\uc138\uc2e0\uace0\uc11c \ub4f1 \uc81c\uc2dc \uac00\ub2a5", "5707": "\uc911\uae30\uccad", "5708": "\uc778\ub3c4\uc0b0", "5709": "\ud604\ud669 \ubc0f MOU \uccb4\uacb0 \ub4f1 \ud611\ub825\uac15\ud654 \ubc29\uc548", "5710": "\ub2e8\uccb4 \ud611\uc758\uad8c", "5711": "\uac8c\uc2a4, \uce98\ube48\ud074\ub77c\uc77832, \ub514\uc824", "5712": "SQ-230PG", "5713": "\ubb3c\ub180\uc774 \uc2dc\uc124", "5714": "\uc774\ubca0\ucf54", "5715": "\uc5d0\ucf54\ub9ac\ube0c\ub974", "5716": "\uacbd\uc0b0", "5717": "\uae40\uc601\uc0ac", "5718": "\uad6d\ubc29\ubd80", "5719": "\uc601\uc0c1 \ud655\uc778 \ud3b8\ub9ac\uc131, \ubc84\ud2bc \uc870\uc791 \ud3b8\ub9ac\uc131, \ube14\ub799\ubc15\uc2a4 \uc124\uce58 \uc6a9\uc774\uc131", "5720": "empty", "5721": "empty", "5722": "\uad00\ub2a5\ud3c9\uac00", "5723": "\ubbf8\ub798\uc5d0\uc14b", "5724": "empty", "5725": "\ud3b8\uc758\uc810", "5726": "\uacf5\uc815\uac70\ub798\ubc95 \uac1c\uc815", "5727": "2013. 9\uc6d4", "5728": "empty", "5729": "empty", "5730": "\uacf5\uc815\uac70\ub798\ubc95 \uc81c11\uc870\uc7584", "5731": "G5100SC", "5732": "\uba74", "5733": "empty", "5734": "empty", "5735": "empty", "5736": "\ucc28\ub7c9\ud310\ub9e4 \ub9c8\uc9c4", "5737": "5\ub144", "5738": "\ub18d\ub9bc\uc218\uc0b0\uac80\uc0ac\uac80\uc5ed\ubcf8\ubd80", "5739": "empty", "5740": "3\uac1c\uc6d4\uc774\uc0c1 \uace0\uc815\ub41c \uc7a5\uc18c \uc678\uc5d0\uc11c \ud310\ub9e4\ud558\ub294 \uacbd\uc6b0", "5741": "\u321c\ud558\uc774\ub9c8\ud2b8", "5742": "empty", "5743": "empty", "5744": "(\uc8fc)\uc0c1\ubcf4", "5745": "\uc8fc\ud55c\uc678\uad50\uad00", "5746": "empty", "5747": "empty", "5748": "2013.10.10.", "5749": "\ud55c\uad6d\uc11d\uc720\uacf5\uc0ac", "5750": "\uae08\uc735\ubcf4\ud5d8\uc0ac \ubcf4\uc720\uc8fc\uc2dd \uc758\uacb0\uad8c", "5751": "1.5\ubc30", "5752": "\ubd80\uc601\ub300\ubd80\ud30c\uc774\ub0b8\uc2a4", "5753": "\uad50\ubcf4\uc6b0\ub9ac\uc544\uc774 \ubcc0\uc561\uc5f0\uae08\ubcf4\ud5d8", "5754": "157\ub9cc", "5755": "\ud55c\uad6d\ud0c0\uc774\uc5b4", "5756": "\ub300\uae30\uc5c5\uc9d1\ub2e8 \uc18c\uc18d\uc0ac", "5757": "empty", "5758": "22.5\uc870", "5759": "\ub3d9\ud654\uae30\uc5c5\u321c", "5760": "empty", "5761": "\ubb34)\uad50\ubcf4First\uc6b0\ub9ac\uc544\uc774\ubcc0\uc561\uc5f0\uae08\ubcf4\ud5d8", "5762": "\uad6c\uac15\ub0b4 \uc545\ucde8\uc81c\uac70, \uad6c\uac15\uc815\ud654", "5763": "25\uc704", "5764": "\uc54c\ud30c\ud558\uc774\ub4dc\ub85d\uc2dc\uc0b0\ub958, \ub808\ud2f0\ub178\uc774\ub4dc\ub958", "5765": "\uc77c\ubc18 \ub4e0\ub4e0 50%", "5766": "\uc81c\uc774\uc601\ub3d9\uace0\uc18d\ub3c4\ub85c\u321c", "5767": "\ud638\uc11c\ub300\ud559\uad50", "5768": "\ud55c\uad6d\ud1a0\uc9c0\uc8fc\ud0dd\uacf5\uc0ac", "5769": "\uc5d4\ube44\uc81c\uc774\uac8c\uc784\uc988\u321c", "5770": "empty", "5771": "\ud53c\ubd80\ubcf4\uc2b5", "5772": "\ucc38\uac00\ube44", "5773": "50\uc5b5 \uc6d0", "5774": "\uad11\uace0\ube44 3\uc5b5\uc6d0 \ubbf8\ub9cc \ub610\ub294 \uad11\uace0\ud69f\uc218 1\ud68c \uc774\uc0c1\uff5e15\ud68c \ubbf8\ub9cc", "5775": "\ub9e4\uc8fc \uc6d4\uc694\uc77c 14\uc2dc\u301c 16\uc2dc", "5776": "empty", "5777": "1\ud68c", "5778": "\ub0c4\ube44, \uc2dd\uae30, \uce7c, \ub3c4\ub9c8 \ub4f1", "5779": "\ub354\ube14\uc5d1\uc2a4 \uc885\ud569\ube44\ud0c0\ubbfc \ubb34\uae30\uc9c8 \ub9ac\ud544", "5780": "\ub9e4\uc6b0 \uc911\ub300\ud55c \uc704\ubc18\ud589\uc704", "5781": "\uc9c1\uad8c\uc870\uc0ac \uba74\uc81c", "5782": "2\uc5b5\uc6d0\ucd08\uacfc 4\uc5b5\uc6d0 \uc774\ud558", "5783": "6\ub144", "5784": "\uacc4\uc5f4\uc0ac \uc8fc\uc2dd", "5785": "1\ub4f1\uae09", "5786": "empty", "5787": "\uc131\uc778", "5788": "137", "5789": "20%", "5790": "empty", "5791": "\uae30\uc220\uac1c\ubc1c\uc9c0\uc6d0\uc13c\ud130 \uc2dc\uc124", "5792": "3\uba85", "5793": "\uc790\ubcf8\uc2dc\uc7a5\ubc95", "5794": "\ub098\uc774\uc2a4\ub514\uc564\ube44", "5795": "\uad50\uacfc\uc11c \uc791\ud488 \ubc30\uacbd \ubc0f \uc791\uac00 \uc0dd\uac00\ubc29\ubb38\uc744 \ud1b5\ud55c \ubb38\ud559 \ud0d0\uad6c", "5796": "\uccb4\ud5d8\uad00", "5797": "\uc5d0\uc2a4\ucf00\uc774\ud540\ud06c\uc2a4(\uc8fc)", "5798": "\uc2ec\uc0ac\ubcf4\uace0\uc11c", "5799": "2004.9.2", "5800": "60%", "5801": "\ubcf4\ub839\uba54\ub514\uc559\uc2a4\u321c", "5802": "empty", "5803": "KT", "5804": "1\ub144", "5805": "\ud558\ub3c4\uae09\ucd1d\uad04\uacfc", "5806": "5\uc5b5\uc6d0", "5807": "4\uc870 5\ud56d", "5808": "5\uc810", "5809": "\ud55c\uad6d\uc804\ub825\uacf5\uc0ac", "5810": "\uc870\uc2b9\uc81c", "5811": "\uc5d0\uc2a4\ucf00\uc774", "5812": "\uc548\ub3d9\uc18c\uc8fc, \uc6b4\ud574, \uc624\ud06c\uc820", "5813": "\ud604\ub300\uac74\uc124", "5814": "\ub300\ud55c\ud56d\uacf5", "5815": "empty", "5816": "\ud574\uba74\ud3fc", "5817": "empty", "5818": "\ud558\uc5ed\u00b7\ub178\ubb34\uacf5\uae09\uc5c5", "5819": "A, B, C", "5820": "\ub300\uad6c\uc0ac\ubb34\uc18c \uacbd\uc7c1\uacfc", "5821": "6\uac1c", "5822": "\ud504\ub77c\uc774\ub4dc", "5823": "\ud22c\uc2fc", "5824": "empty", "5825": "\uc5f01\ud68c", "5826": "empty", "5827": "\uacf5\ubb34\uc6d0 \uc784\uc6a9\uaddc\uce59 \ub4f1 \ud589\uc548\ubd80 \uc18c\uad00 \uc0c1\uc704\uaddc\uc815\uc73c\ub85c \uaddc\uc728\uac00\ub2a5", "5828": "empty", "5829": "100\ub9cc\uc6d0", "5830": "\uae08\uc735\uc704\uc6d0\ud68c", "5831": "\ubbf8\ubc31\ud6a8\uacfc", "5832": "\uc18c\ube44\uc790 \uad8c\uc775\ubcf4\ud638 \uac15\ud654", "5833": "\ud3b8\uc758\uc810", "5834": "3\uc5b5\uc6d0", "5835": "(\uc8fc)\uc778\ud3ec\ud5c8\ube0c", "5836": "(\uc8fc)\uc5d4\ud2b8\ub780", "5837": "empty", "5838": "185", "5839": "\uacbd\uae30\ub3c4, \uad11\uc8fc\uc2dc, \uc5ec\uc8fc\uc2dc, \ud3c9\ud0dd\uc2dc", "5840": "1", "5841": "empty", "5842": "\uc218\uacbd\uc7ac\ubc30 \uacbd\ub825 3\ub144 \uc774\uc0c1 \ub18d\uc5c5\uc778", "5843": "\ub18d\uc2dd\ud488\ubd80 \uc7a5\uad00", "5844": "\ub9e4\ucd9c\uc561, \ub2f9\uae30\uc21c\uc774\uc775, \uc2e0\uc6a9\ud3c9\uac00 \ub4f1", "5845": "\uc911\uad6d \uacbd\uc7c1\uc815\ucc45\uacfc \uacbd\uc81c\ubc1c\uc804", "5846": "\uc774\ub2f4\uc0b0\uc591\ub9c8\uc744", "5847": "\uc5ec\ud589\uc5c5 \uc885\uc0ac\uc790 \ub300\uc0c1(\uae30\uc5c5 \ub610\ub294 \ub2e8\uccb4) \uac1c\uc778(\ub0b4\u22c5\uc678\uad6d\uc778), \uae30\uc5c5 \ubc0f \ub2e8\uccb4 \ub4f1", "5848": "\uac1c\uc778(\ub0b4\u22c5\uc678\uad6d\uc778) \ub204\uad6c\ub098 \ucc38\uc5ec \uac00\ub2a5", "5849": "empty", "5850": "empty", "5851": "107.7%", "5852": "empty", "5853": "14\ub144", "5854": "\ubc95 \uc81c69\uc8701\ud56d", "5855": "empty", "5856": "12,420\u33a1", "5857": "6\uac1c", "5858": "\uc6b0\ud3b8", "5859": "\uce7c\ub77c\uc544\uc2a4\ucf58", "5860": "\uc640\uc774\ube44\uc54c\uc591\uad6c\ub2c8", "5861": "empty", "5862": "\ub3d9\ubd80\uad8c", "5863": "\ud53c\ud574\uc790 \uac1c\uc778\uc774 \uc18c\uc1a1\uc5d0 \ucc38\uac00", "5864": "\ud0c4\uc18c\uc911\ub9bd", "5865": "130\ub9cc\uc6d0", "5866": "1\ud68c", "5867": "2\ub9cc\uc6d0", "5868": "100\uc5b5\uc6d0", "5869": "empty", "5870": "empty", "5871": "193km", "5872": "\uc0ac\uc5c5 \uace0\ub3c4\ud654 \uc9c0\uc6d0", "5873": "\uc2dc\u00b7\uad70\u00b7\uad6c", "5874": "empty", "5875": "\uc804\ub0a8 \ud574\ub0a8 \uace0\uad6c\ub9c8", "5876": "\uc0ac\ud6c4\uad00\ub9ac", "5877": "\uc804\ubd81\ub300", "5878": "empty", "5879": "empty", "5880": "27\uac1c\uc0ac", "5881": "\uac74\uad6d\ub300\ud559\uad50 \ubc95\ud559\uacfc", "5882": "380\ucc99", "5883": "17\uac1c\uc0ac", "5884": "2.2\uc5b5\uc6d0", "5885": "empty", "5886": "LNG\ud130\ubbf8\ub110\uc5d0 \ub300\ud55c \ud22c\uc790\ud655\ub300", "5887": "\ubc31\uc120\uac74\uc124\u321c", "5888": "\uacbd\ubd81 9\uac1c \uc2dc\u00b7\uad70 \uc9c0\uc5ed \uc2e4\uc2dc\uc124\uacc4\uc6a9\uc5ed \uc785\ucc30\ub2f4\ud569\ud589\uc704 \uac74", "5889": "\uc6b0\ud3b8\uc73c\ub85c \uc790\ub8cc\uc81c\ucd9c \uc694\uad6c", "5890": "\uac1c\uc18c\ub2f9 3\ubc31\ub9cc\uc6d0", "5891": "\uacfc\uc81c \uc81c\uc548", "5892": "2\uba85 3\uba85 8\uba85", "5893": "empty", "5894": "\u25aa\uae30\ubcf8\uc5ed\ub7c9 \ubc0f \uc0b0\ud559\ud611\ub825 \ud2b9\uc131\ud654 \uc5ed\ub7c9", "5895": "empty", "5896": "\uc0bc\uc131", "5897": "\uc5b4\ucd0c\ub9c8\uc744\uc758 \ub2e4\uc591\ud55c \ubb38\ud654\ub97c \uccb4\ud5d8\u2027\ud559\uc2b5\ud560 \uc218 \uc788\ub294 \uc0c1\ud488", "5898": "\uc2dc\uc815\uba85\ub839, \uad6c\uc131\uc0ac\uc5c5\uc790\ud1b5\ubcf4, \uacf5\ud45c\uba85\ub839, \uacfc\uc9d5\uae08 \ub4f1", "5899": "\ucde8\uc5c5\ub960", "5900": "\ubd84\uc591\uc0ac\uc5c5\uc790(\uc2dc\ud589\uc790), \uc2dc\uacf5\uc790", "5901": "\uc0ac\uad50\uc721", "5902": "2\uce35", "5903": "\uc740\ube5b\uc720\uce58\uc6d0", "5904": "\uc18c\ube44\uc790 \ub9cc\uc871", "5905": "\uc885\uc790", "5906": "3\uc810", "5907": "2007.1\u223c2008.7", "5908": "empty", "5909": "48\uac1c", "5910": "empty", "5911": "empty", "5912": "\uad50\uc6d0 \ub4f1\uc758 \uc5f0\uc218\uc5d0 \uad00\ud55c \uaddc\uc815(\ub300\ud1b5\ub839\ub839) \ub4f1", "5913": "\uc9c0\uc18d\uac00\ub2a5\ud55c \uacf5\uac04\ub514\uc790\uc778\uc744 \uc704\ud55c \uc7ac\uc0ac\uc6a9 \uc7ac\ub8cc \uc6f9 \uad6c\ud604 \uc5f0\uad6c", "5914": "\ub300\uc9c4\ub300\ud559\uad50", "5915": "\ub2e8\ud638\ubc15", "5916": "\uc790\uaca9\uc99d \ubd84\uc57c", "5917": "45", "5918": "100\ubd84", "5919": "15\uc885", "5920": "\uc131\uade0\uad00\ub300", "5921": "\uae30\uc7ac\ubd80", "5922": "26\uc5b5\uc6d0", "5923": "15\uc810", "5924": "\ub300\uad6c\uc720\uce58\uc6d0\uc5f0\ud569\ud68c\uc758 \uc0ac\uc5c5\uc790\ub2e8\uccb4\uae08\uc9c0\ud589\uc704\uc5d0 \ub300\ud55c \uac74", "5925": "\ud55c\uad6d\uad50\uc721\uac1c\ubc1c\uc6d0", "5926": "empty", "5927": "\uac00\uce58", "5928": "4.21.\uff5e5.11.", "5929": "empty", "5930": "empty", "5931": "PDF \ud30c\uc77c", "5932": "empty", "5933": "\ub2e8\uc77c \uc8fc\ub825\uacc4\uc5f4 70% \uc774\uc0c1", "5934": "\uc544\uc774\ud15c \ud604\uae08\uac70\ub798", "5935": "\uc9c0\uc5ed\uc0ac\ud68c \uc18c\uc18d\uac10, \uc774\uc6c3 \uc720\ub300", "5936": "\ucc29\uc6a9\uacfc \uc0ac\uc6a9\uc774 \ud3b8\ub9ac\ud55c \uc548\uc804\ub300\uc5d0 \ub300\ud55c \uc544\uc774\ub514\uc5b4 \uc81c\uc548", "5937": "\uc790\uc2e0\uac10", "5938": "empty", "5939": "2\uc810", "5940": "\uc131\uade0\uad00\ub300", "5941": "\ucde8\uc5c5 \uad00\ub828 \ud1b5\uacc4\u00b7\uc790\ub8cc, \ub300\uc878\uc790\uc9c1\uc5c5\uc774\ub3d9\uacbd\ub85c\uc870\uc0ac \uc790\ub8cc \ub4f1", "5942": "29\uac1c", "5943": "\uacbd\ub0a8\uc815\ubcf4\ub300", "5944": "\ubd80\ucd1d\ub9ac\uacb8\uad50\uc721\ubd80 \uc7a5\uad00\uc0c1", "5945": "\uff6210\ub300 \uacfc\uc81c\uff63 \uacf5\uc720, \ubbfc\uac04 \uacf5\ub3d9\uc704\uc6d0\uc7a5 \uc120\ucd9c \ub4f1 kick-off \ud68c\uc758", "5946": "55", "5947": "empty", "5948": "\uac00\uc81c\ub514\uc9c0\ud138 \uae30\ubc18 \uace0\ub4f1\uad50\uc721 \ubc0f \ud3c9\uc0dd\uad50\uc721 \ud601\uc2e0 \ubc29\uc548", "5949": "\uc11c\uc6b8\uad50\ub300", "5950": "empty", "5951": "\ud638\ub0a8\ub300", "5952": "673\uc5b5\uc6d0", "5953": "\uc9c0\uc5ed\uc790\uc6d0 \uc5f0\uacc4 \uac15\ud654", "5954": "934\uac74", "5955": "\uad50\uc218\ud559\uc2b5 \uc790\ub8cc \uac1c\ubc1c", "5956": "\uc2dc\uc5b4\uc5c5\uc9c0\ub3c4\uc0ac\ubb34\uc18c \ud574\ud30c\ub9ac\uc5b4\uc5c5\ud53c\ud574 \ub300\ucc45\ubc18", "5957": "\ub3d9\ud574\uc2dc, \uc601\uc6d4\uad70", "5958": "\uc0ac\ub9bd\ud559\uad50\ubc95", "5959": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "5960": "\ub2f9\ud574 \uc5f0\ub3c4 \uc218\ud5d8\uc0dd\uc744 \uc704\ud55c \uad50\uc7ac \uc911 \ud3c9\uac00\uc6d0\uc774 \uac10\uc218\ud55c \uad50\uc7ac \ubc0f \uac15\uc758", "5961": "1\uc21c\uc704", "5962": "empty", "5963": "\ud574\ub2f9\uc790", "5964": "\uac01 50\ub9cc \uc6d0", "5965": "\uc7ac\ud559 \uc911\uc778 \uace0\ub4f1\ud559\uad50", "5966": "empty", "5967": "119", "5968": "empty", "5969": "\ud559\uad50\uc548\uc804\ucd1d\uad04\uacfc", "5970": "empty", "5971": "\uc804\ub0a8 \uc21c\ucc9c\uc2dc \uc21c\ucc9c\ub9cc", "5972": "empty", "5973": "\uc870\ub9ac\uc0ac", "5974": "\uacf5\uc815\uac70\ub798\uc704\uc6d0\ud68c \ud45c\uc2dc\uad11\uace0\uacfc", "5975": "\uad50\uc0ac \uc6b4\ub3d9\uc120\uc218", "5976": "\ucf00\uc774\ud2f0", "5977": "\uad50\uc0ac", "5978": "6\ub9cc\uc6d0", "5979": "empty", "5980": "\uae30\ubcf8\uacc4\ud68d \uc218\ub9bd, \uae30\uad00\ubcc4 \uc5c5\ubb34\uc870\uc815, \ucd94\uc9c4\uc0ac\ud56d \uc810\uac80 \ub4f1 \uc81c\ub3c4 \ucd1d\uad04", "5981": "40%", "5982": "\uc2e4\uc2b5\uc2e4 \uacf5\uac04 \uac1c\uc120", "5983": "10", "5984": "\ubdf0\ud2f0\ud654\uc7a5\ud488 \uae00\ub85c\ubc8c \ub9ac\ub354 \uacfc\uc815", "5985": "empty", "5986": "25\ubc30", "5987": "\ubca0\uc9c0\ubca0\uc5b4\u2019", "5988": "\uacf5\uc815\uac70\ub798\uc704\uc6d0\ud68c \ud558\ub3c4\uae09\uad6d", "5989": "\uac15\uc6d0 \uc591\uc591", "5990": "\uc2a4\ucfe0\ubc84\uc7a0\uc601", "5991": "\uacbd\uc7c1\uc815\ucc45\uacfc \uacbd\uc81c\ubc1c\uc804/\uacbd\uc7c1\uc815\ucc45\uacfc \uc0b0\uc5c5\uc815\ucc45\uc758 \uad00\uacc4", "5992": "\ub86f\ub370\ud478\ub4dc", "5993": "\ub18d\ub9bc\ucd95\uc0b0\uc2dd\ud488\ubd80", "5994": "\uc989\uc11d\uc870\ub9ac\uc2dd\ud488", "5995": "9", "5996": "\uacf5\uc815\uc704", "5997": "\uc560\ub2c8\ud53c\ub4dc", "5998": "empty", "5999": "20\uac1c", "6000": "\ucc3d\ud3ec\ub9c8\uc744", "6001": "\uac74\uac15 \uae30\ub2a5 \uc2dd\ud488", "6002": "\ub4dc\ub860\u00b7\uc774\ub3d9\uc2dd\uce21\uc815\ucc28\ub7c9 2set", "6003": "\ub3cc\uba38\ub9ac\uc5b4\ucd0c \uccb4\ud5d8\ub9c8\uc744", "6004": "\uad6d\ub9bd\uc218\uc0b0\uacfc\ud559\uc6d0", "6005": "\ub18d\uc6b0\ubc14\uc774\uc624 \uc774\ubcd1\uac01 \ub300\ud45c\uc774\uc0ac", "6006": "\uc6b0\uace1\uc0dd\uc8fc", "6007": "12\ub9cc\uc6d0", "6008": "\uc81c\uc8fc \ubc2d\ub2f4", "6009": "empty", "6010": "\uc2dc\u00b7\ub3c4\uad50\uc721\uccad \uc911\uc559", "6011": "22\uac1c", "6012": "TEPS", "6013": "\uc624\uc900\ubcd1", "6014": "37\uba85", "6015": "\u25e6\uc900\uacf5", "6016": "(\uc8fc)\ud55c\uac15\ucf00\uc774\ube14TV", "6017": "58.9\uc810", "6018": "empty", "6019": "\uc591\ubc30\ucd94 \ubd84\ub9d0\uc758 \ucca8\uac00\uac00 \uc800\uc9c0\ubc29 \ud584\ubc84\uac70 \uc2a4\ud14c\uc774\ud06c\uc758 \ud488\uc9c8\uc5d0 \ubbf8\uce58\ub294 \uc601\ud5a5", "6020": "empty", "6021": "empty", "6022": "empty", "6023": "\ud55c\uad6d", "6024": "empty", "6025": "\ucda9\ub3d9\uad6c\ub9e4 \uc720\ub3c4", "6026": "empty", "6027": "empty", "6028": "empty", "6029": "9", "6030": "\uc138\uc785\u2192\uc138\ucd9c\uc758 2\ub2e8\uacc4 \uc808\ucc28\ub85c \ucc98\ub9ac", "6031": "\ub3d9\ud574", "6032": "\uac00\uacf5", "6033": "empty", "6034": "empty", "6035": "\uac1c\uc778\uc5f0\uad6c", "6036": "\uc774\ubca4\ud2b8\uc874 1", "6037": "\uc5f0\uc138\ub300\ud559\uad50", "6038": "11.23(\ud1a0)~24(\uc77c) 15:00~16:00", "6039": "\ud3c9\uac00 \ub300\uc0c1 \ud559\ub144\uae09 \ud559\uc0dd\ub4e4\uc774 \uc131\ucde8\ud558\uae30\ub97c \uae30\ub300\ud558\ub294 \uae30\ubcf8 \ub0b4\uc6a9\uc744 \ubd80\ubd84\uc801\uc73c\ub85c \uc774\ud574\ud55c \uc218\uc900", "6040": "\ud765\ubbf8", "6041": "30\uc5ec\uba85", "6042": "\uc9c1\uac70\ub798\uc7a5\ud130 \uba54\uc778\uc704\uce58", "6043": "3\ub144", "6044": "\uc9c4\ub85c\uad50\uc721 \uc778\uc2dd", "6045": "\ub3c4\uc804", "6046": "\ud2b9\ud5c8\ubd84\uc11d, \uc911\ubcf5\uc131\uac80\ud1a0 \ub4f1 \ubcf4\uace0\uc11c \uc791\uc131", "6047": "empty", "6048": "\ub9cc\ub0a8 Greeting SW\uad50\uc721 \ud765\ubbf8", "6049": "\uc0bc\uac01\ube44, \ud53c\ud0c0\uace0\ub77c\uc2a4 \uc815\ub9ac", "6050": "empty", "6051": "empty", "6052": "\uc804\ubd81", "6053": "\uc0b0\uc815\ud638\uc218 \ub3d9\uc815\ucd98\ub9c9\uac78\ub9ac", "6054": "empty", "6055": "215\ud300", "6056": "\uae30\uc220\uc9c0\uc8fc \uc790\ud68c\uc0ac", "6057": "empty", "6058": "\uace0\uc6a9\ub178\ub3d9\ubd80", "6059": "AI\uc5d0 \ub300\uc751\ud55c \uad50\uc721\ud601\uc2e0, \uc778\uacf5\uc9c0\ub2a5 \ud1a0\uc775\ud559\uc2b5, \uc735\ud569\uc778\uc7ac\uc591\uc131\ub860", "6060": "10\u223c50\uc5b5\uc6d0", "6061": "empty", "6062": "\ucd08\ub4f1 \ub3cc\ubd04 \uacfc\uc77c \uac04\uc2dd \uc0dd\ubd84\ud574\ucef5 \uc0ac\uc6a9", "6063": "\uc8fc3\ud68c", "6064": "\uc804\ubd81 \uc804\uc8fc", "6065": "\uc815\ubcf4\ud1b5\uc2e0", "6066": "22", "6067": "8\uc6d4 \ub9d0\u223c9\uc6d4 \ucd08", "6068": "empty", "6069": "empty", "6070": "empty", "6071": "\ud1a0\ub300\uc5f0\uad6c", "6072": "\uc2dd\ud488\uc6a9 \uc6a9\uae30, \uc8fc\uc0ac\uae30", "6073": "2\uac1c\uc18c", "6074": "\ud559\uc81c\uac04\uc735\ud569\uc5f0\uad6c", "6075": "\uad50\ub2f9 2\uc5b5\uc6d0", "6076": "empty", "6077": "\uc77c\uc0c1\uadfc\ubb34", "6078": "2014.\ud558\ubc18\uae30", "6079": "\uc0ac\ud68c\uacfc\ud559\uc5f0\uad6c\uc9c0\uc6d0", "6080": "45", "6081": "\ub354\ubd88\uc5b4 \uc0dd\ud65c\ud558\uae30", "6082": "\ucc3d\uc758\uc801\uc73c\ub85c \ud45c\ud604\ud558\uae30", "6083": "\ucee8\uc124\ud305", "6084": "empty", "6085": "\ud488\uc885\uc218\uc785", "6086": "\ub290\ub9b0\ub9c8\uc744\ub77c\uc774\ud2b8\ub9c9\uac78\ub9ac", "6087": "1\ub2ec", "6088": "empty", "6089": "empty", "6090": "271\uac1c", "6091": "\uc870\uc0ac 1\uc77c\uc804 \uc544\uce68\uc2dd\uc0ac\ub97c \uacb0\uc2dd\ud55c \ubd84\uc728", "6092": "\uad00\uad11\ubca4\ucc98\uc0ac\uc5c5", "6093": "15%", "6094": "empty", "6095": "empty", "6096": "1", "6097": "\ubc29\uacfc \ud6c4", "6098": "\ud398\uc2ac \uc778\uc2a4\ud2b8\ub8e8\uba3c\uce20", "6099": "empty", "6100": "empty", "6101": "empty", "6102": "4\uc6d4", "6103": "\uc804 \ubc94\uc704", "6104": "\uad50\ubb38 \ub0b4~\uad50\uc2e4 \uc548", "6105": "2", "6106": "\ucd08\ub4f1\ud559\uad50 \ubb38\ud574\ub825 \uacc4\ud68d \ubc0f \ud559\uad50\uae30\uc900 \uc81c\uace0\ud558\uae30", "6107": "7\uac74", "6108": "\uac15\ud654\uad70 \uccad\ucc3d\ub18d\uc5f0\ud569\ud68c", "6109": "\u25e6\ud53c\ud574\uc870\uc0ac \uc9c0\uc6d0", "6110": "empty", "6111": "\ub2f9\ud574 \uc2dc\ud5d8 \ubb34\ud6a8, \ucc28\ub144\ub3c4 \uc218\ub2a5 \uc751\uc2dc\uc790\uaca9 \uc815\uc9c0", "6112": "\uc2dc\uc815\uba85\ub839", "6113": "\uc778\ucc9c\ub300", "6114": "\uc2dc\ud5d8\uc7a5 \ubc18\uc785 \uae08\uc9c0 \ubb3c\ud488", "6115": "75\uba85", "6116": "\uc2e0\uaddc \uc124\ub9bd \uc5b4\ub824\uc6c0", "6117": "\uc18c\ub144\ubc95", "6118": "\ucd5c\ub300 6\uac1c\uc6d4 300\ub9cc\uc6d0 \ud55c\ub3c4", "6119": "\uc2dc\uc815\uba85\ub839", "6120": "empty", "6121": "empty", "6122": "empty", "6123": "50\ubb38\ud56d", "6124": "empty", "6125": "\uc0dd\uba85\uc790\uc6d0 \uae30\ubc18 \uc0b0\ub9bc \ubca4\ucc98 \uc721\uc131", "6126": "empty", "6127": "empty", "6128": "\uc774\ud654\uc5ec\uc790\ub300\ud559\uad50", "6129": "empty", "6130": "\ubcf4\ub839\uc218\ud611 \uc218\uc0b0\ubb3c \uc0b0\uc9c0\uac70\uc810\uc720\ud1b5\uc13c\ud130 \uac74\ub9bd", "6131": "empty", "6132": "empty", "6133": "\uad11\uc8fc, \uc804\ubd81, \uc138\uc885", "6134": "empty", "6135": "\uc774\ud0c8\ub9ac\uc544", "6136": "empty", "6137": "\uc81c\uc8fc \uad6d\uc81c\ucee8\ubca4\uc158\uc13c\ud130", "6138": "11.13.(\ud654)", "6139": "2008", "6140": "\uc8fd\ub9ac\ub9c8\uc744", "6141": "empty", "6142": "8cm", "6143": "\uad50\uc721\ubd80", "6144": "\ub9ac\uc544\uc6b0\uc8fc \uae5c\ube60\ub974", "6145": "\ubd09\ud669\ub9c8\uc744", "6146": "\ub3c4\uc785\uc548 \ud655\uc815", "6147": "empty", "6148": "\ub2e4\ubb38\ud654\uad50\uc721", "6149": "1\ud559\ub144 ~ 3\ud559\ub144", "6150": "\ud559\uc0ac\uc6b4\uc601", "6151": "\ub3cc\ubd04", "6152": "\uae30\uc7ac\ubd80", "6153": "23\uc810", "6154": "\ud589\uc548\ubd80", "6155": "188\uba85", "6156": "\uc138\uc6d4\ud638 \uc2dc\uad6d\uc120\uc5b8", "6157": "\ub18d\uc2dd\ud488\ubd80 \ub610\ub294 \uac80\uc5ed\ubcf8\ubd80", "6158": "empty", "6159": "5\ub144 \ucca0\ud3d0", "6160": "\uc0ac\ud68c \ubcc0\ud654\uc640 \uacf5\uc874", "6161": "empty", "6162": "empty", "6163": "\uacbd\uace0", "6164": "\ud55c\uad6d \ud734\ub81b\ud329\ucee4\ub4dc", "6165": "empty", "6166": "\uac1c\ubc1c\uc2e4 \ubc0f \uc624\ud508\ud0a4\uce5c", "6167": "\ud1b5\ud569\uacfc\ud559 \uacfc\ud559\ud0d0\uad6c\uc2e4\ud5d8", "6168": "137,376\uc6d0", "6169": "\uc120\ud589\ucd9c\uc81c \uae08\uc9c0 \ud559\uad50", "6170": "empty", "6171": "\ubc14\ub098\ub098\ubcf4\ud2b8, \ubc14\uc774\ud37c, \ud50c\ub77c\uc774\ud53c\uc26c, \uc81c\ud2b8\uc2a4\ud0a4, \ud504\ub9ac\ub2e4\uc774\ube59", "6172": "46.9%", "6173": "\uc2dc\uc81c\ud488 \uac1c\ubc1c\uc9c0\uc6d0", "6174": "\uad6d\ub9bd\uc885\uc790\uc6d0 \uac15\uc6d0\uc9c0\uc6d0", "6175": "empty", "6176": "\ud669\uae08\ub204\ub9ac, \ud638\ud488", "6177": "empty", "6178": "empty", "6179": "empty", "6180": "\uad50\uc721 \uc774\ub825", "6181": "IC003", "6182": "empty", "6183": "50\ud300", "6184": "4\uac1c", "6185": "empty", "6186": "\uac15\uc6d0", "6187": "\ub18d\ud611 \uacc4\uc57d\uc7ac\ubc30 \ubb3c\ub7c9 \ud65c\uc6a9", "6188": "empty", "6189": "empty", "6190": "empty", "6191": "\ubcfc\ud2b8\uc640, \ub108\ud2b8, \uc2a4\ud328\ub108", "6192": "154\uac1c\uc18c", "6193": "\uc790\uc5f0\ud658\uacbd", "6194": "empty", "6195": "\uc0ac\ud68c \ubb38\uc81c\uc640 \uc9c1\uc5c5\uc724\ub9ac, \u2219\ubbf8\ub798\uc758 \uc9c1\uc5c5 \uc0ac\ud68c", "6196": "\ucf58\ud150\uce20 \uc804\uc2dc \ubc0f \uc2dc\uc5f0(2016 \uc774\ub7ec\ub2dd \ucf54\ub9ac\uc544)", "6197": "\uad00\uad11", "6198": "3\ub144", "6199": "807\uba85", "6200": "empty", "6201": "2016\ub144 5\uc6d4 \uc911", "6202": "empty", "6203": "empty", "6204": "\uc0b0\ubc29\ud574\uc800\ub180, \uc544\ub77c\uc628\ud574\uc800\uad6c\ub989", "6205": "OECD", "6206": "empty", "6207": "empty", "6208": "empty", "6209": "\uc815\ucc45\uacf5\uac10", "6210": "\uc5ec\uc131", "6211": "16", "6212": "\uba54\ub69c\uae30", "6213": "\ub374\uc18c\ucf54\ub9ac\uc544\uc77c\ub809\ud2b8\ub85c\ub2c9\uc2a4", "6214": "\ubc1c\uc5f4\uccb4\ud06c, \uc811\uc885\ub300\uc0c1\uc790 \ud655\uc778 \ubc0f \uc811\uc218, \ubb38\uc9c4\ud45c \uc791\uc131, \uc9c4\ucc30\ub300\uae30", "6215": "empty", "6216": "10\uac1c", "6217": "\ub9c8\ub2c8\ucee4 \ub3d9\ub450\ucc9c\uc9c0\uc810", "6218": "282\ub9cc\uac74", "6219": "12\uc5b5\uc6d0", "6220": "empty", "6221": "417\uac1c", "6222": "\ub9ac\ube0c\ub9ac\ub354", "6223": "\uacfc\uc815\uc911\uc2ec \ud3c9\uac00", "6224": "20\uba85 \ub0b4\uc678", "6225": "\uc0ac\uc5c5 \uacc4\ud68d, \uc131\uacfc\ubaa9\ud45c \ub4f1 \uc6b4\uc601 \uad00\ub828 \ucee8\uc124\ud305 \uc81c\uacf5", "6226": "\ubaa8\ub4e0 \ud559\uc0dd", "6227": "\uae30\ubcf8\uacfc\uc815", "6228": "630\uc5b5\uc6d0", "6229": "empty", "6230": "\ubc14\uc774\uc624\uc81c\uc57d\uacf5\uc815\uacfc", "6231": "empty", "6232": "\uacbd\uae30\ub3c4 \ud3ec\ucc9c\uc2dc \uad00\uc778\uba74 \uc2e0\uad50\ub3d9\ub85c 148-11", "6233": "\ub300\ud559\uc0dd ~ \uc131\uc778", "6234": "empty", "6235": "\ub9e4\uc6b0 \uc2ec\ud568", "6236": "30,000\u33a1", "6237": "ARCH Venture Co.", "6238": "empty", "6239": "AI \ud56d\uc6d0", "6240": "empty", "6241": "empty", "6242": "\uae30\uc5c5 \uc77c\ubc18 \uc5ec\uac74", "6243": "empty", "6244": "\ud559\uc0dd", "6245": "\uc790\ub3d9\ucc28\ubd80\ud488, \ubc14\uc774\uc624\uc18c\uc7ac", "6246": "50\uba85", "6247": "empty", "6248": "empty", "6249": "empty", "6250": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 \uc774\ud574", "6251": "9\uac1c", "6252": "\uccad\ub144\ucc3d\uc5c5 \ubcf4\uc721\uc13c\ud130", "6253": "\uc2e0\uccb4 \uc778\uc2dd\ud558\uae30", "6254": "\uc778\uc0dd \uc124\uacc4", "6255": "\ub355\uace0\ub9c8\uc744", "6256": "\ucc44\uc6a9\uad00", "6257": "25", "6258": "empty", "6259": "\ub098\ub97c \uc54c\uace0 \uc874\uc911\ud558\uae30", "6260": "empty", "6261": "14", "6262": "empty", "6263": "\uad50\uc721\uc2dc\uc124\uacfc", "6264": "\uc804\uc8fc\uae30\uc804\ub300\ud559", "6265": "\ub274\uc2a4\ud65c\uc6a9\uad50\uc721", "6266": "2\uba85", "6267": "SK", "6268": "empty", "6269": "\uae30\uad00\uc2e0\ubb38 \ubc0f \ud648\ud398\uc774\uc9c0 \ub4f1 \ud64d\ubcf4", "6270": "\ub300\uc804", "6271": "10", "6272": "empty", "6273": "\uc0ac\ub3c4\uc2e4\ucc9c \ub178\ub825", "6274": "\uc870\uc120\uc790", "6275": "empty", "6276": "\uc608\uc758", "6277": "\uadc0\ub18d\u00b7\uadc0\ucd0c, \uc0ac\ub78c\uacfc \uc18c\ud1b5 \uc774\uc57c\uae30", "6278": "empty", "6279": "\ub18d\uad00\uc6d0 \uc2dc\ud5d8\uc5f0\uad6c\uc18c", "6280": "\uc815\ud5a5 \ucd94\ucd9c\ubb3c", "6281": "\uad50\uc721\ud6c8\ub828", "6282": "10,792\uac1c", "6283": "\uc885\ub85c\uc0c1\ud68c, \uac15\ub0a8\ubd88\uace0\uae30, BBQ\uce58\ud0a8", "6284": "0.6", "6285": "\uc774\ud654\uc5ec\ub300", "6286": "empty", "6287": "\uace4\ucda9\ucfe0\ud0a4 4\uc885", "6288": "FAO", "6289": "\uae30\uc7a5\ucabd\ud30c", "6290": "37.4%", "6291": "empty", "6292": "\uad11\uc8fc\uad11\uc5ed\uc2dc, \uc804\ub77c\ub0a8\ub3c4, \uc804\ub77c\ubd81\ub3c4", "6293": "empty", "6294": "\uc131\uade0\uad00\ub300", "6295": "empty", "6296": "\ud559\uad50\uad50\uc721 \uc815\uc0c1\ud654 \uc9c0\uc6d0", "6297": "empty", "6298": "\uc0ac\ud68c\uacf5\ud5cc", "6299": "\ubc31\ub9c8", "6300": "27\uac1c\uad50 \ub0b4\uc678 47\uac1c\uad50 \ub0b4\uc678 10\uac1c\uad50 \ub0b4\uc678 84\uac1c\uad50", "6301": "empty", "6302": "\ud55c\uad6d\uc0ac \uc2dc\uac04\uc5ec\ud589", "6303": "1\ub144", "6304": "21\uba85", "6305": "\ud574\uc870\uc232", "6306": "empty", "6307": "\uad50\uacfc\uc11c \uc791\ud488 \ubc30\uacbd \ubc0f \uc791\uac00 \uc0dd\uac00\ubc29\ubb38\uc744 \ud1b5\ud55c \ubb38\ud559 \ud0d0\uad6c", "6308": "\ubcf4\ub9bf\uace0\uac1c \ub9c8\uc744", "6309": "empty", "6310": "\ud770\uc810\ubc15\uc774 \uaf43\ubb34\uc9c0 \uc560\ubc8c\ub808", "6311": "48\uba85", "6312": "\ubcf4\ub9bf\uace0\uac1c \ub9c8\uc744", "6313": "\uc5d4\ud0a4\ub9c8", "6314": "\ub300\uad6c\uad50\uc721\ub300\ud559\uad50", "6315": "\uac04 \ubcf4\ud638\uc640 \uc54c\ucf54\uc62c \ud574\ub3c5/ \ud574\uc5f4/\uc2e0\uacbd\ub9c8\ube44\ub97c \uce58\ub8cc", "6316": "\uc0bc\uc131 \uc804\uc790 \uacf5\uacfc\ub300", "6317": "\uad6d\uc81c \uc2ec\ud3ec\uc9c0\uc5c4", "6318": "empty", "6319": "1\ucc28\ub144\ub3c4 \uc131\uacfc\ud3c9\uac00", "6320": "\ub9c8\uc0b0\uc5ec\uace0", "6321": "ICT\ub85c \uc5f4\uc5b4\uac00\ub294 \uc2a4\ub9c8\ud2b8\ub18d\uc5c5", "6322": "\uc6d0\ubaa9\uc774\ub098 \uac00\uacf5\ub41c \ubaa9\uc81c\ud488\uc5d0 \ud0c4\uc18c\ub97c \uc800\uc7a5", "6323": "\uc989\uc11d\uc300\uad6d\uc218", "6324": "empty", "6325": "\uc0ac\uc774\ubc84 \ubc94\uc8c4 \uc99d\uac70 \ucc3e\uae30", "6326": "empty", "6327": "61\uac1c\uad50", "6328": "36\uba85", "6329": "\ub808\ucc3d\uc5c5\uc9c0\ub77c\ube14", "6330": "\ucee8\ud14c\uc774\ub108 \ucd1d \ubb3c\ub7c9\uc73c\ub85c \uc2e0\uccad", "6331": "\uc0b0\uba38\ub8e8\ub18d\uc6d0 \uc601\ub18d\uc870\ud569\ubc95\uc778", "6332": "\ud568\ud604\uc911", "6333": "\uac1c\uccb4\uad00\ub9ac", "6334": "\uc11c\uc6b8", "6335": "36\uac1c\uc18c", "6336": "\ub300\ud654\ub18d\ud611", "6337": "4.9 (\uc6d4)", "6338": "2,665\uc5b5\uc6d0", "6339": "15\uc810", "6340": "empty", "6341": "\uc790\uc720\ud559\uae30\uc81c \uc815\ucc45 \ubc0f \uc5f0\uad6c\ud559\uad50 \uc6b4\uc601\uc0ac\ub840 \uc18c\uac1c", "6342": "empty", "6343": "\u321c\ud55c\uad6d\ub18d\uc2dd\ud488\uc778\uc99d\uc6d0", "6344": "\ubd80\uc0b0\ub300, \uc6b8\uc0b0\ub300, \uc778\ud558\ub300", "6345": "\ucc38\uc608\uc6b0", "6346": "\uc81c\uc8fc\ub3c4", "6347": "\uc6b8\uc8fc\uad70", "6348": "\ud559\uc220\uc5f0\uad6c\uae30\uc220", "6349": "\uc785\ud559\uc790\uaca9 \ubc0f \uc218\uc5ec\ud559\uc704", "6350": "empty", "6351": "empty", "6352": "2009", "6353": "\uc804\ubd81 \uc775\uc0b0", "6354": "828\ucc9c\uc6d0", "6355": "\uacbd\ubd81\uc678\uad6d\uc5b4\ub300\ud559\uad50, \uc81c\uc8fc\uad6d\uc81c\ub300\ud559\uad50", "6356": "50km", "6357": "\uad50\ub0b4 \uc120\ub3c4", "6358": "\ubc1c\uc0ac\uc7a5 \ubc0f \uc778\uadfc 50km \uc774\ub0b4 \uac15\uc218\uac00 \uc5c6\uc744 \uac83", "6359": "\uc778\uc99d\uc2ec\uc0ac", "6360": "\uc870\uc9c1\ubc30\uc591, \uc720\uc804\uc790\uc6d0, \ubcd1\ub9ac \uac80\uc815 \uc11c\ube44\uc2a4 \ub4f1", "6361": "\uc601\ucc9c\uc911", "6362": "\uc6b8\ud504\ub3c5", "6363": "\ubca0\ud2b8\ub0a8, \ud544\ub9ac\ud540, \ud0dc\uad6d, \uc778\ub3c4\ub124\uc2dc\uc544, \ubab0\ub514\ube0c", "6364": "\uc778\ucc9c \uc1a1\ub3c4", "6365": "10\uba85", "6366": "\ub300\uad6c\uacbd\ub3d9\ucd08", "6367": "GIST", "6368": "\uc9c4\uad50\uc911", "6369": "\ud3c9\uc0dd\uad50\uc721 \uc7a5\ub824", "6370": "empty", "6371": "\uc0bc\uc131\uc804\uc790", "6372": "\ud6c4\ubcf4\uc790\ubcc4", "6373": "\uc870\ub3d9\ucd08", "6374": "empty", "6375": "\ub300\uc131\uc5ec\uc911", "6376": "empty", "6377": "\uc624\ud508\ubb34\ub300", "6378": "\uc644\ub3c4\uc218\uc0b0\uace0", "6379": "\ud559\uad50\uc7a5 \ubc0f \uad50\uacfc \ub2f4\ub2f9\uad50\uc0ac \ucd94\ucc9c\uc744 \ubc1b\uc740 \uace0\ub4f1\ud559\uad50 1\u00b72\ud559\ub144, \uc218\uc2dc\ud569\uaca9\uc0dd", "6380": "\ub178\uace0\ubd09", "6381": "5,500\uc6d0", "6382": "\uc9c0\ubb38\uc778\uc2dd, \uc548\uba74\uc778\uc2dd \ubc29\uc2dd", "6383": "\uad6d\ub9bd\uc911\uc559\uccad\uc18c\ub144\uc218\ub828\uc6d0", "6384": "\uc784\uc2dc\uce74\uc6b4\ud130 \uc6b4\uc601", "6385": "\uc57d 34\ubc30", "6386": "\uc5f0\uc138\ub300", "6387": "\ubb38\uc81c\ud574\uacb0\ub2a5\ub825", "6388": "empty", "6389": "3\uc704", "6390": "\uad6d\ubb34\uc870\uc815\uc2e4", "6391": "KaTA \uacf5\uc5f0", "6392": "\uc7a5\uc810", "6393": "\ud504\ub791\ucf04 \uc704\ub2c8", "6394": "\uc778\uac04\uc720\uc804\uccb4 \uae30\ub2a5\uc5f0\uad6c", "6395": "50%", "6396": "\uad6d\ub9bd\ud574\uc591\ubc15\ubb3c\uad00", "6397": "\uc601\uc5b4", "6398": "\ud3ec\ub7fc\uc5f0\uadf9", "6399": "2012.9.12(\uc218)~14(\uae08)", "6400": "\uacbd\ub0a8\ub300", "6401": "\uc11c\uc6b8", "6402": "\uae30\ud68d\uc7ac\uc815\ubd80", "6403": "2011\ub144", "6404": "31 \uc774\ud558", "6405": "\ud55c\uc591\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "6406": "\ud574\ub0a8\uacf5\ub8e1\ubc15\ubb3c\uad00", "6407": "\uc0b0\ucc44\ub9c8\uc744", "6408": "\ub2e8\uad6d\ub300\ubcd1\uc6d0", "6409": "\ub3d9\ub0a8\uc544", "6410": "empty", "6411": "\uc0ac\ud30c\ub9ac", "6412": "\uc790\ub140\uc5d0\uac8c \ub2e4\uac00\uac00\ub294 \uae38", "6413": "IT \uae30\ubc18\uc73c\ub85c \uad00\uad11 \uc815\ubcf4\ub97c \ub9e4\uac1c\ud558\ub294 \uae30\uc5c5 \uc9c0\uc6d0", "6414": "empty", "6415": "\uadf8\ub9b0\ub370\uc774\ud130\uc13c\ud130", "6416": "\uc2a4\ud2b8\ub9bf \ub304\uc2a4 \uc7a5\ub974\ubcc4 \uadf8\ub8f9\uc5f0\uc2b5", "6417": "\uc2a4\uce74\uc774\ub77c\uc774\ud504", "6418": "142\uba85", "6419": "\ud55c\uad6d\uc2dd\ud488\uc5f0\uad6c\uc6d0", "6420": "\ud615\uc11d\uace0", "6421": "empty", "6422": "\uc0ac\uc774\uc5b8\uc2a4\ubd81\uc2a4", "6423": "\ud3ed\ub113\uc740 \ub098\ub178\uc5f0\uad6c\ubd84\uc57c", "6424": "\uc6b8\uc0b0 \uc804\ud558\ucd08", "6425": "\ud55c\uad6d-EU \ubb3c\uc815\ubcf4\uacfc\ud559", "6426": "empty", "6427": "\uc11c\uadc0\ud3ec \ub9e4\uc77c\uc62c\ub808\uc2dc\uc7a5", "6428": "2008\ub144 11\uc6d4", "6429": "\uc18c\ub098\ubb34", "6430": "\ud790\ub9c1\u00b7\ubb38\ud654", "6431": "\uad11\uc8fc \ub3d9\uad6c\uccad", "6432": "\uc368\ub2c8", "6433": "empty", "6434": "\uc560\ubc8c\ub808\uc758 \uc5ec\ud589", "6435": "\uac15\uc6d0\uad8c", "6436": "\ubd09\uc0ac\ud65c\ub3d9\uc744 \ud1b5\ud574 \uc5bb\uc740 \uad50\uc721\uc801 \ud6a8\uacfc", "6437": "184\uba85", "6438": "48\uac1c", "6439": "8", "6440": "180\uba85", "6441": "empty", "6442": "\ud3ec\ucc9c\uc2dc", "6443": "15\uba85", "6444": "\ub300\uad6c", "6445": "\uc124\ubb38\uc870\uc0ac", "6446": "5", "6447": "\ud53c\ubd80\uc0c9\uc774 \ub2ec\ub77c\ub3c4 \uc6b0\ub9ac\ub294 \ud568\uaed8\ud558\ub294 \uce5c\uad6c", "6448": "empty", "6449": "\uacfc\ud559\ucea0\ud504", "6450": "\ub4dc\ub9bc\uc13c\ud130", "6451": "\uc790\uc2e0\uc758 \ub2a5\ub825\uc744 \ubc1c\ud718\ud558\uace0 \uc131\ucde8\uac10\uc744 \uac16\ub294 \uac83", "6452": "1% \ubbf8\ub9cc", "6453": "2011\ub144 2\ud559\uae30", "6454": "\uc2a4\ub9c8\ud2b8\uad50\uc721 \uc804\ubb38 \uacfc\uc815", "6455": "80%", "6456": "\ud53c\ud574\uc790 \uc815\ubcf4 \ubc0f \ud559\uad50\ud3ed\ub825 \ud53c\ud574\uc0ac\ub840\uac00 \uad6c\uccb4\uc801\uc774\uc9c0 \uc54a\uc740 \uacbd\uc6b0", "6457": "40\ubd84", "6458": "\uc6d4\uc131\ucd08, \ud654\ub791\uc911", "6459": "empty", "6460": "\ub3d9\uc758\ub300, \uc11c\uc6b8\uacfc\ud559\uae30\uc220\ub300", "6461": "10", "6462": "empty", "6463": "\uc870\uc120\ub300\ubcd1\uc6d0 \uc5b4\uc5c5\uc548\uc804\ubcf4\uac74\uc13c\ud130", "6464": "170\uac1c\uad50", "6465": "empty", "6466": "\ub300\ub9bc\ub300\ud559 \ub450\uc6d0\uacf5\uacfc\ub300\ud559", "6467": "\uaddc\uce59 \uac1c\uc815 \uc644\ub8cc", "6468": "empty", "6469": "\uac00\ucd95\ub3d9\ud5a5\uc870\uc0ac", "6470": "110\uba85", "6471": "\ubbf8\uad6d", "6472": "\uc0b0\ud559\ud611\ub825 \uae30\ubc18\uad6c\ucd95", "6473": "11\uba85", "6474": "\uc138\uc1584 \ud575\uc758\ud559", "6475": "160", "6476": "\ubbf8\uad6d", "6477": "480\uc5b5\uc6d0", "6478": "\ud559\uc0dd\uac74\uac15 \uc548\uc804\uacfc", "6479": "\uc9c4\ub85c\uad50\uc721", "6480": "empty", "6481": "\uace0\ub839\uc778\uc758 \uc21c\ud658\uacc4 \uae09\uc131\uae30\ub2a5\uc7a5\uc560 \uc608\ubc29\uc744 \uc704\ud55c \uc0dd\uccb4\uc704\ud5d8 \uac10\uc9c0\uae30\uc220", "6482": "\ud3ec\uc2a4\ucf54 \uc5b4\ub9b0\uc774 \ucca0\uac15\ucea0\ud504 \uc6b4\uc601", "6483": "\uacbd\ud76c\ub300\ud559\uad50", "6484": "\ub300\uac00\uc57c\ubc15\ubb3c\uad00", "6485": "14", "6486": "\uace0\ub824\ub300", "6487": "\ucfe0\ud0b9 \ud074\ub798\uc2a4 \uc9c4\ud589", "6488": "\ud0dc\uc5f0", "6489": "empty", "6490": "\uc544\uc8fc\uc790\ub3d9\ucc28\ub300\ud559", "6491": "\uacbd\ucc30\uccad", "6492": "20~40\uc5b5\uc6d0", "6493": "\uc778\ucc9c \uc5f0\uc218\ucd08", "6494": "empty", "6495": "empty", "6496": "\uacbd\uc0c1\ubd81\ub3c4\uad50\uc721\uccad", "6497": "\uc6b8\uc0b0", "6498": "\ud55c\uad6d\ud559 \uc138\uacc4\ud654\ub7a9", "6499": "\uc74c\uc545", "6500": "empty", "6501": "empty", "6502": "\ud55c\uad6d \ubbf8\uad6d \uc601\uad6d \ub3c5\uc77c \ud504\ub791\uc2a4 \uce90\ub098\ub2e4 \uc77c\ubcf8 \uc911\uad6d", "6503": "\uc0b0\ud559\ud611\ub825 \uc120\ub3c4\ud615", "6504": "\uc18c\uc218(\u7d20\u6578)\uc758 \uc5ed\uc0ac\uc640 \uc554\ud638\uc758 \ub4f1\uc7a5, \uac8c\uc784\uc774\ub860\uacfc \uacbd\uc81c\ud559\uc758 \ubc1c\uc804", "6505": "20(2011) \u2192 100", "6506": "\ubaa9\uacf5\uad50\uc721\uad50\uc0ac\uc5f0\uad6c\ud68c", "6507": "\ud558\ubc14 \uc544\ub9ac\uc0ac /\uae40\ud604\uc601", "6508": "\uc7a5\uc790\ub9c8\uc744 \ud589\ubcf5\ud559\uc2b5\uad00", "6509": "\uc6c5\uc9c4\uc53d\ud06c\ube45", "6510": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "6511": "Kinomics \uae30\ubc18 \ud56d\uc554\uc5f0\uad6c WCI \uc13c\ud130", "6512": "\uace0\ub4f1\uad50\uc721\uc5d0 \ub300\ud55c \uc811\uadfc\uc790\uaca9 \uc778\uc815", "6513": "\uc9c0\uc5ed\ubb38\ud654\ub2e8\uccb4", "6514": "\ucca8\ub2e8\uae30\uc220\uad00 1\uce35 \uc0dd\uba85\uacfc\ud559\uc2e4\ud5d8\uc2e4", "6515": "\ud669\ubb38\uc219", "6516": "empty", "6517": "5\ubd84", "6518": "\uc11c\uc6b8\ub85c\ubd07\uace0", "6519": "\uad50\uacfc\uc11c", "6520": "6\uc2dc\uac04", "6521": "empty", "6522": "\uc5b4\ub9b0\uc774 \uacbd\uc81c \ubba4\uc9c0\uceec", "6523": "\uc720\uc544\uad50\uc721\ubc95", "6524": "\uc870\uc120\ud574\uc591\ud50c\ub79c\ud2b8 \uae00\ub85c\ubc8c\ud575\uc2ec\uc5f0\uad6c\uc13c\ud130", "6525": "\ub178\ub974\uc6e8\uc774", "6526": "\uc5f0\uad6c\uae30\ud68d\ub860, \ud504\ub85c\uc81d\ud2b8 \uad00\ub9ac \ubc0f \ud3c9\uac00\ub860, \uae30\uc220\uc0ac\uc5c5\ud654\ub860", "6527": "12", "6528": "\ucd5c\ub300 5\ucc9c\ub9cc\uc6d0", "6529": "10\uba85 \ub0b4\uc678", "6530": "\ub9cc9\uc138\uff5e39\uc138", "6531": "empty", "6532": "\uae30\ub2a5 \ucee4\ub125\ud1a0\ubbf9\uc2a4 WCI \uc13c\ud130", "6533": "\uc2a4\ub9c8\ud2b8\uc601\ub18d\uc77c\uc9c0 \ud30c\ubc0d\ub178\ud2b8", "6534": "\ud575\uc735\ud569 \uc774\ub860 WCI \uc13c\ud130", "6535": "1\uc870 5,000\uc5b5\uc6d0", "6536": "0.75\uc870\uc6d0", "6537": "\uc544\uc0ad", "6538": "empty", "6539": "\uacbd\uc0c1\ub0a8\ub3c4 \uc77c\uc6d0", "6540": "\ud0a4\ub2c8\ucf00\uc5b4", "6541": "\ub300\uad6c\ub300\ud559\uad50", "6542": "4", "6543": "\ucda9\ubd81\ub300\ud559\uad50", "6544": "\ub2e4\ubb38\ud654\uac00\uc815 \uc544\ubc84\uc9c0\uc758 \uc790\uc544\uc874\uc911\uac10 \ud5a5\uc0c1, \ubd80\ubd80\uad00\uacc4 \uac15\ud654, \ubd80\ubaa8 \uc815\uccb4\uac10 \ud655\ub9bd", "6545": "\ucd98\ucc9c\uc9c0\uc5ed\uc0ac\ud68c\uad50\uc721\ud611\uc758\ud68c", "6546": "\uc815\ucc45\uad00", "6547": "\uccad\ub144\ucc3d\uc5c5\uac00", "6548": "Ubiquitous Home", "6549": "empty", "6550": "\ud669\uce60\ub098\ubb34", "6551": "3,000\uc6d0", "6552": "\ub208\uc8fc\ubaa9,\ub208\ud5a5\ub098\ubb34", "6553": "1,000\uc6d0", "6554": "2", "6555": "\ubbf8\uc138\uc870\ub958", "6556": "210\ubd80\uc2a4", "6557": "14", "6558": "3,200\ub9cc\uc6d0", "6559": "empty", "6560": "\ud2b9\uc218\uad50\uc721 \uc0b0\uc5c5 \ud64d\ubcf4\uc804", "6561": "50\ubc31\ub9cc\uc6d0 \uc774\ub0b4", "6562": "empty", "6563": "50%", "6564": "\uc774\ucc9c\uc300, \uac15\ud654\uc57d\uc465, \uc5ec\uc8fc\uc300, \uae40\ud3ec\uc300, \uc548\uc131\ubc30, \uc548\uc131\uc300", "6565": "empty", "6566": "0.45", "6567": "empty", "6568": "33.8%", "6569": "\uc138\uacc4\ubb38\ud654\uae30\ud589 \u2018\uc774\uc9d1\ud2b8\u2019", "6570": "\ud55c\uc2dd\uc7ac\ub2e8", "6571": "5\uba85", "6572": "6\uba85", "6573": "\uad50\uc721\ud2b9\ud654\uc9c0\uc6d0", "6574": "18.7\uac74", "6575": "\uc5f0 2,400\ub9cc\uc6d0", "6576": "\uc790\uc544\uc874\uc911\uac10, \uac10\uc815\uc870\uc808\uacfc \ud45c\ud604 \ub4f1 \ud504\ub85c\uc81d\ud2b8\ud615 \ud559\uc2b5\uc8fc\uc81c \ucd94\uac00", "6577": "\ucd1d 1,538\uba85", "6578": "09:00~18:00", "6579": "6", "6580": "empty", "6581": "10\uc6d4", "6582": "GISF \ud648\ud398\uc774\uc9c0", "6583": "\ubc14\ub85c \ub2f9\uc2e0\uc774 \uc288\ud37c\uc2a4\ud0c0", "6584": "\uc218\uc775", "6585": "\uc6d0\uc790\ub825 \ub274\uc2a4\ub808\ud130", "6586": "\uace0\ub824\uc911\uc559\ud559\uc6d0", "6587": "empty", "6588": "\ud55c\uad6d\ud55c\uc758\ud559\uc5f0\uad6c\uc6d0", "6589": "530\ub9cc\uc6d0", "6590": "\ud478\ub974\ub780\ud2b8", "6591": "\uceec\ub7ec\ud478\ub4dc, \uceec\ub7ec\ud50c\ub77c\uc6cc", "6592": "\uacf5\ub3d9\uccb4\uc131", "6593": "1,000\ub9cc\uc6d0", "6594": "3", "6595": "\uac00\ucc9c\ud559\uc6d0", "6596": "\uc0ac\uace0\ubb49\uce58\uace0\ub4f1\uc5b4", "6597": "\ub18d\ub9bc\uc9c4\ud765\uc7ac\ub2e8", "6598": "empty", "6599": "empty", "6600": "empty", "6601": "\uacbd\uacc4", "6602": "10", "6603": "49\uba85", "6604": "\ub300\uad6c", "6605": "\uc11c\uc6b8\ub300", "6606": "\uc0bc\uc131\ud0c8\ub808\uc2a4", "6607": "\ud488\uc885\uc0c1\uad00", "6608": "\uacbd\uae30\ub3c4", "6609": "\uc5b4\uc120 \uc811\uc548\uc2dc\uc124", "6610": "\ub374\ub9c8\ud06c", "6611": "\ub300\uad6c\uacfc\ud559\ub300\ud559", "6612": "\uc0b0\ub4e4\uac15\uc6c5\ud3ec", "6613": "\ub300\uc6d0\ub300\ud559", "6614": "1\ud300 1,000\ub9cc\uc6d0", "6615": "empty", "6616": "\uac04\ud638\ud559", "6617": "\uacbd\uc601\uad50\uc721\uc778\uc99d\uc6d0", "6618": "\uace0\uac00 \uc2e4\uc2b5\uc7a5\ube44 \ub9c8\ub828 \uc5b4\ub824\uc6c0", "6619": "\uace0\uac00 \uc2e4\uc2b5\uc7a5\ube44 \ub9c8\ub828 \uc5b4\ub824\uc6c0", "6620": "empty", "6621": "16", "6622": "3\ub144 \uc774\ub0b4", "6623": "empty", "6624": "13,620\ubc31\ub9cc\uc6d0", "6625": "empty", "6626": "3\ub144", "6627": "\uc591\ub3c8\ub300\ud559", "6628": "\u25aa\ud559\uad50 \uc6b0\uc218\uc131, \uad6d\uc81c\uc801 \uba85\uc131\ub3c4", "6629": "20.6\uac74", "6630": "empty", "6631": "\ubc1c\uba85\uc744 \uc88b\uc544\ud558\uace0 \ubc1c\uba85\ud65c\ub3d9", "6632": "\uc2e0\uc120\ud3b8\uc758 \uc2dd\ud488", "6633": "\ubbfc\ub4e4\ub808\uae30\ud68d", "6634": "\ud55c\uad6d\uad50\uc721\uacfc\uc815\ud3c9\uac00\uc6d0", "6635": "195\uc5b5\uc6d0", "6636": "22.5%", "6637": "\uc18c\uc544\ubcc0", "6638": "\ud55c\uc591\ub300", "6639": "0.75\uc870\uc6d0", "6640": "1,570,000\uba85", "6641": "2010", "6642": "\uc81c\ud55c\uacbd\uc7c1(\uc9c0\uc5ed) \u2192 \uc77c\ubc18\uc801\uc73c\ub85c \ucd5c\uc800\uac00\uaca9 \uc801\uc6a9", "6643": "empty", "6644": "1,812\uc5b5\uc6d0", "6645": "\ucd084\ud559\ub144", "6646": "\uad6d\uc81c\ud611\ub825\uad50\uc721", "6647": "empty", "6648": "\ucda9\ub0a8 \ucc9c\uc548 \ub3c5\ub9bd\uae30\ub150\uad00", "6649": "\ucda9\ub0a8", "6650": "3~5\ub144", "6651": "9\uad50", "6652": "50\ub9cc\uc6d0\uc529 4\ud68c \ucd1d 200\ub9cc\uc6d0", "6653": "16", "6654": "empty", "6655": "\uc644\uc8fc\ub85c\uceec\ud478\ub4dc \ud611\ub3d9\uc870\ud569", "6656": "5", "6657": "2000", "6658": "\ubd80\uc0b0", "6659": "\uc2f1\uac00\ud3ec\ub974", "6660": "\uc0b0\ud559\uc735\ud569\uc5f0\uad6c\uc2e4", "6661": "\ud559\uc0dd", "6662": "\uacf5\uad70\ud56d\uacf5\uacfc\ud559\uace0", "6663": "\uc124\ub9bd\uc8fc\uccb4\uc758 \uc18c\uc720", "6664": "\uc11c\uc6b8\ub3c4\uc2dc\uacfc\ud559\uae30\uc220\uace0", "6665": "\uad50\uc9c1\uc6d0", "6666": "\uad50\uc721\uc9c0\uc6d0\uccad", "6667": "empty", "6668": "\uc99d\uc2dd\ubd80\uc704", "6669": "210,000\uc6d0 168,000\uc6d0", "6670": "\uacbd\uc778\uad50\ub300 \uc74c\uc545\uad50\uc721\uacfc \ub300\ud559\uc0dd \uba58\ud1a0 \uc9c0\uc6d0", "6671": "\uc804\uc790\ubc29\uba85\ub85d", "6672": "\uac10\uc0ac\uc704\uc6d0 \uc911\uc5d0\uc11c \uc120\ucd9c", "6673": "\ub9c8\ub298", "6674": "empty", "6675": "empty", "6676": "\ubc30\ubd84\ubc29\uc2dd, \ub300\ud559\uc790\uccb4\ubaa8\ub2c8\ud130\ub9c1", "6677": "\ucd5c\uc6b0\uc218 : 1,000\ub9cc\uc6d0 \uc6b0\uc218 : 500\ub9cc\uc6d0 \uc18c\ub4dd5\ubd84\uc704 \uc774\ud558", "6678": "40", "6679": "\ub18d\uc5c5\uc774\ud574, \ub18d\uc5c5\uae30\ucd08\uae30\uc220", "6680": "\uc2dc\uc2a4\ud15c\uc81c\uc5b4", "6681": "10", "6682": "empty", "6683": "empty", "6684": "71.0", "6685": "\uc57d 97\ub9cc\ub9c8\ub9ac\ubd84", "6686": "\ubd89\uc740\ubc14\ub2e4\uac70\ubd81", "6687": "KERIS", "6688": "\ub300\uc804, \ucda9\ubd81, \ucda9\ub0a8, \uac15\uc6d0", "6689": "\ud55c\ud654\uc544\ucfe0\uc544\ud50c\ub77c\ub137 \uc5ec\uc218", "6690": "\ud559\uac00\uc0b0\uace0\ub7ad\uc9c0 \ubc30\ucd94\uae40\uce58", "6691": "2\ud68c", "6692": "\ucca0\uc0c8\uc8fc\uc758 \ub2e8\uacc4", "6693": "\uc81c\ud55c\uc5c6\uc74c", "6694": "\uce5c\ud658\uacbd\ub18d\ud2b9\uc0b0\ubb3c\uad00", "6695": "200\ub9cc\uc6d0", "6696": "27.9%", "6697": "5\ucc9c\ub9cc\uc6d0", "6698": "empty", "6699": "6.9%", "6700": "50%", "6701": "\uc8fc\uc758", "6702": "\uc740\ucc99\uc591\uc870\uc7a5", "6703": "\uc2e4\uc6a9\uacbd\uc81c", "6704": "\uc81c\uc8fc", "6705": "empty", "6706": "\uace0\ucd94\uc7a5, \ud64d\ucd08", "6707": "2,307\uc5b5\uc6d0", "6708": "empty", "6709": "\ud3c9\uade0\uacfc \ud558\ub77d\uacbd\uacc4 \uc911\uac04\uac12~\uc0dd\uc0b0\ube44", "6710": "\ub2e8\uae30\u00b7\uc77c\ud68c\uc131 \uc0ac\uc804 \uc218\uc694 \uc870\uc0ac\ub85c \ub18d\uc791\uc5c5 \uc9c0\uc18d \uc81c\uacf5", "6711": "\ud569\ucc9c \ub18d\uc5c5\ud68c\uc0ac\ubc95\uc778 \ud569\ucc9c\uc720\ud1b5(\uc8fc)", "6712": "\ub3c5\uc790\ub4e4\uacfc \ud568\uaed8 \uc18c\ud1b5\ud558\ub294 \ub3c5\uc790 \uc0ac\uc5f0 \uc18c\uac1c", "6713": "\ucc44\ubb34\uc99d\uad8c", "6714": "\uc11c\uc6b8\uc2dc", "6715": "empty", "6716": "empty", "6717": "\uc9c0\uc624\ub9ad\uc2a4", "6718": "\uac15\uc6d0 \uc815\uc120\uad70 \uac1c\ubbf8\ub4e4\uad8c\uc5ed", "6719": "empty", "6720": "\ud65c\uae30\ucc2c \ub18d\ucd0c\ud504\ub85c\uc81d\ud2b8", "6721": "25.2%", "6722": "\ud611\uc2ec\uc5b4\ub9b0\uc774\uc9d1", "6723": "\ud611\uc2ec \uc5b4\ub9b0\uc774\uc9d1", "6724": "\uc0b0\uc9c0\uc77c\uc2dc \uc0ac\uc6a9\ud5c8\uac00", "6725": "25\u00b0\uc774\ud558", "6726": "\uac10\uc790\uc804", "6727": "3,760\ud1a4", "6728": "empty", "6729": "\uc791\ud488\uc124\uacc4\ub3c4 \ub4f1 \uc11c\ub958\uc2ec\uc0ac", "6730": "33\uac1c\uc18c", "6731": "\uac04\uc808\uacf6\ub4f1\ub300", "6732": "empty", "6733": "4\uc810", "6734": "\ub0b4\uc190\uc73c\ub85c", "6735": "\uac04\ud3b8\uc81c\uc5b4", "6736": "\uc791\ud488\uc0ac\uc9c4 \ubc0f \uc791\ud488\uc124\uba85 \uc2ec\uc0ac", "6737": "\uc74c\uc131", "6738": "empty", "6739": "\ub300\ud615\ub208\uaf43\ube59\uc218 \ub9cc\ub4e4\uae30", "6740": "\uc0dd\uc7a5", "6741": "4.22\uc810 3.87\uc810", "6742": "empty", "6743": "empty", "6744": "588", "6745": "\uc0dd\uc7a5\ud658\uacbd \ubc0f \uc628\uc2e4\ud658\uacbd \uc5f0\uad6c \ub4f1", "6746": "empty", "6747": "\uc81c11\ud68c \ubc8c\uad50\uaf2c\ub9c9 \ucd95\uc81c", "6748": "\uccad\ub69c", "6749": "\uac80\uc815\uace0\uc2dc \uc131\uc801\uc99d\uba85\uc11c", "6750": "\ub18d\ub9bc\ucd95\uc0b0\uac80\uc5ed\ubcf8\ubd80", "6751": "\uacbd\uae30", "6752": "\uad6d\uad81\uccb4\ud5d8, \ub5a1\ub9e4\uce58\uae30, \ubee5\ud2f0\uae30\uccb4\ud5d8, \uc804\ub798\ub180\uc774\uccb4\ud5d8", "6753": "\uc5f0\uac04 400\ub9cc\uc6d0", "6754": "empty", "6755": "\ub18d\ubbfc\uc7a5\ud130", "6756": "\uae30\uc220\ubd84\uc57c\ubcc4 \uc804\ubb38\uac00 \uc0ac\uc804 \uac80\ud1a0 * 2015\ub144 \uc2e0\uaddc\uc9c0\uc815\uacfc\uc81c \uac00\ub2a5 \uc5ec\ubd80 \ud310\ub2e8", "6757": "\uac10\uade4", "6758": "40\uba85", "6759": "\uc778\uc99d\uc6d0", "6760": "\uae30\uc5c5 \uc7ac\uc9c1\uc790", "6761": "13\uac1c 12:00~17:00 \uc2dd\ud488\uc720\ub9dd\uae30\uc5c5 13\uac1c", "6762": "50%", "6763": "\uacbd\uae30", "6764": "GiGA \uc0ac\ub791\ubc29 \uc870\uc131", "6765": "\uc885\uc624\ub9ac", "6766": "\ud55c\uad6d\ud658\uacbd\uacf5\ub2e8", "6767": "\uae30\ubcf8\uacc4\ud68d \uc911\uac04\ubcf4\uace0 \uc804\ud6c4", "6768": "\uc9c0\uc7ac\uad8c(\uc0c1\ud45c, \ud2b9\ud5c8 \ub4f1) \ubcf4\ud638 \ubc0f \ud53c\ud574 \uc608\ubc29 \uc9c0\uc6d0", "6769": "\uc8fc\ub958, \uc74c\ub8cc", "6770": "60\uac74", "6771": "\ucd94\uc0ac\uc560\ud50c\uc640\uc778", "6772": "\uc804\ub0a8\ub18d\uc5c5\uae30\uc220\uc6d0", "6773": "\uc54c\ud504\uc2a4\ub9c8\uc744, \uc608\uc0b0\uc0ac\uacfc\uc640\uc778, \uc218\ub355\uc0ac", "6774": "empty", "6775": "199\uac1c", "6776": "3\ub144", "6777": "\uacbd\uae30", "6778": "\ud3ec\ud478\ub9ac \ub9cc\ub4e4\uae30", "6779": "empty", "6780": "\uc120\uc800\uc758 \ud3d0\uc218", "6781": "\ubb34\uacbd\uc6b4 \ubc0f \ubd80\ubd84\uacbd\uc6b4", "6782": "\uc644\uc804\ubcf4\uc7a5\ud615 \uc635\uc158", "6783": "empty", "6784": "\uc740\ud589\ub9c8\uc744", "6785": "\uc11c\uc6b8\uc6a9\uc0b0\ucd08\ub4f1\ud559\uad50", "6786": "15\uc810", "6787": "\ub9c8\uc2dc\ub294 \uc0b0\uc218\uc720 \uc9c4\uc561", "6788": "\ub300\uc0c1\uc8fc\uc2dd\ud68c\uc0ac", "6789": "\uc54c\ub85c\uc5d0\uc74c\ub8cc", "6790": "\uac80\uc5ed\uae30\uc900 \ubcc0\uacbd\uc5d0 \ub530\ub978 \ud1b5\uad00\ubd88\ub2a5 \uc704\ud5d8 \ud3ec\ud568", "6791": "3\u223c4\uc8fc", "6792": "\ub3d9\uacc4 \ub17c \uc7ac\ubc30 \uc0ac\ub8cc\u00b7\uc2dd\ub7c9\uc791\ubb3c", "6793": "empty", "6794": "\ub300\ud48d\ud5cc", "6795": "5\ucc9c \ub9cc\uc6d0 2\uc5b5\uc6d0", "6796": "\ud074\ub808\uc624\ud30c\ud2b8\ub77c", "6797": "\ub300\uc0c1\uc5d0\ud504\uc564\uc5d0\ud504", "6798": "empty", "6799": "\ub18d\uac00", "6800": "empty", "6801": "empty", "6802": "15\ub144", "6803": "\uc870\uc9c1\uc740 \ud669\ubc31\uc0c9, \ub9db\uc774 \uc5c6\uc74c", "6804": "\ub18d\uc2dd\ud488\ubd80, \uc0b0\uc5c5\ubd80, \ud574\uc218\ubd80", "6805": "empty", "6806": "\uc624\ub300\uc0b0 \uc0b0\ucc44\ub9c8\uc744", "6807": "2018\ub144 2020\ub144", "6808": "empty", "6809": "empty", "6810": "empty", "6811": "empty", "6812": "\uc591\ud3c9\uad70, \uc21c\ucc9c\uc2dc", "6813": "\ucc3d\uc758\u2027\uc778\uc131\uc218\uc5c5 \ud504\ub85c\uadf8\ub7a8", "6814": "\ud658\uacbd\ubd80", "6815": "62\uac1c\uc18c", "6816": "empty", "6817": "\uc624 \ucf00\uc2a4\ud2b8\ub77c \ubd09\uc0ac \ud65c\ub3d9, \ud569\uc8fc \uae30\ud68c \ubc0f \uc7a5\uc18c \uc9c0\uc6d0", "6818": "50%", "6819": "\uc544\uc2dc\uc544\ub9e4\ubbf8\ub098\ubc29(AGM) \uc120\ubc15\uac80\uc0ac\ub97c \ud1b5\ud55c \uc218\ucd9c \uc0b0\uc5c5 \uc9c0\uc6d0", "6820": "\uc6d0\uc8fc\ub300\u00b7\uad00\ub3d9\ub300 \ub300\ud559\uc0dd \uba58\ud1a0, \uac15\uc0ac \uc9c0\uc6d0", "6821": "2%", "6822": "15\ucc9c\ud1a4", "6823": "22\uac1c\uc18c", "6824": "\ub18d\uac00\ub2e8\uc704 \ud53c\ud574\uc728 50% \uc774\uc0c1", "6825": "empty", "6826": "16\uac1c", "6827": "\ud604\uc7a5", "6828": "20\uc5ec\uba85", "6829": "\uc5f0\uac04 1,000\ubc31\ub9cc\uc6d0\uc758 \uad00\uc0c1\uc5b4\uc0ac\ub8cc \uc218\ucd9c \ubc0f \uc218\uc785\ub300\uccb4\ud6a8\uacfc", "6830": "\uc0c1\uc5c5\uc801 \uc774\uc6a9", "6831": "\uacf5\uacf5\uc2dc\uc124\uc9c0\uad6c", "6832": "\uc778\ud558\ub300\ud559\uad50 \ud574\uc591\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc18c", "6833": "\uc2e0\ud3c9\uc591\uc870\uc7a5", "6834": "\uc0dd\ubb3c", "6835": "\uba85\uc778 \uc548\ub3d9\uc18c\uc8fc", "6836": "\uc724\ud55c\uc218", "6837": "\ud55c\uad6d\uc2dd\ud488\uc5f0\uad6c\uc6d0", "6838": "20\uac1c", "6839": "\ubcf4\uc870 35, \uc735\uc790 55", "6840": "\uc544\uc774\ube44 \uc601\ub18d\uc870\ud569\ubc95\uc778", "6841": "\uc8fc\uc758", "6842": "\ubcf4\uc740\uad70", "6843": "\uc911\uc559\ubb34\ub300", "6844": "\ud55c\uad6d\ub18d\uc5b4\ucd0c\uacf5\uc0ac", "6845": "empty", "6846": "\uad6c\uc808\ucd08\ucc28", "6847": "\uc18d\ucd08\uc9c0\uc18c \uac80\uc5ed\ud300", "6848": "\ub3d9\uad11\ubb38\ud654\ub9c8\uc744", "6849": "\ud574\uc591", "6850": "100%", "6851": "\ud3c9\uc548\ub3c4", "6852": "\uae40\uce58", "6853": "\ud55c\uc9c0\ud615", "6854": "25.3%", "6855": "\ud638\uc548", "6856": "\ub300\ud559, \uc5f0\uad6c\uae30\uad00(\uad6d\uacf5\ub9bd \ud3ec\ud568), \uc815\ubd80\ucd9c\uc5f0\uc5f0\uad6c\uc18c", "6857": "\uc5fc\uc18c", "6858": "\ud55c\uad6d\ud45c\uc900\ud611\ud68c", "6859": "\uc790\uc5f0\uc0dd\ud0dc\uccb4\ud5d8", "6860": "\uc0dd\uc0b0\uae30\ubc18, \ub9c8\ucf00\ud305, \uc218\uc775\ucc3d\ucd9c", "6861": "\uad6d\ub9bd\uc885\uc790\uc6d0", "6862": "empty", "6863": "10\ucc99", "6864": "\uad11\uc5ed\uc9c0\ubc29\uc790\uce58\ub2e8\uccb4", "6865": "\uc774\ub780", "6866": "234\uc5b5\uc6d0", "6867": "empty", "6868": "3\uc6d4", "6869": "7-8\uc77c", "6870": "\uc548\uc815\uc801", "6871": "empty", "6872": "\uc138\ud3ec\uce58\ub8cc\uc81c", "6873": "empty", "6874": "\uc11c\ud574\ud56d\ub9cc \ud574\uacbd\uc804\uc6a9\ubd80\ub450 5\uac1c\ud56d \ucd94\uac00 \ud655\ucda9", "6875": "\uac15\uc88c\uc218\uac15", "6876": "\ub300\ud1b5\ub839\uc0c1", "6877": "228 ha", "6878": "1\ub144", "6879": "empty", "6880": "\ub18d\ub9bc\uc218\uc0b0\uc2dd\ud488\ubd80", "6881": "empty", "6882": "\ud64d\uc0bc\uac00\uacf5\uacf5\uc7a5 \uc6b4\uc601", "6883": "\uc6b0\uc218\uc0c1", "6884": "\ub0b4\uc218\uba74", "6885": "empty", "6886": "20\uc810", "6887": "empty", "6888": "500\ub9cc\uc6d0", "6889": "empty", "6890": "\uc601\ub18d\uae30\ubc18\uc774 \uc788\ub294 \ub18d\uc5c5\uacc4\uace0\uad50 \uc878\uc5c5(\uc608\uc815)\uc790", "6891": "\uade0\ub958\uc721\uc885\uc5f0\uad6c\ud300", "6892": "\uc6b0\ub9ac\uc220", "6893": "\ud0dc\uc548\uad70\uccad", "6894": "\uac00\ud6c8 \uc4f0\uae30", "6895": "\uc758\ub8cc\uc13c\ud130", "6896": "\uc548\uc591", "6897": "\ucc3d\uc6d0\uc2dc \uc9c4\ub3d9\uba74 \uad11\uc554\ud56d", "6898": "\ubd80\ub140\ud68c\uc9c0\uc6d0", "6899": "\uc778\ucc9c\uad11\uc5ed\uc2dc", "6900": "\ubc30\ucd94\uae40\uce58, \ucf69\ub098\ubb3c", "6901": "200\uac1c", "6902": "empty", "6903": "\uac10\uc790, \uace0\uad6c\ub9c8", "6904": "\ub098\ubb34\ubcf4\uc0c1 \ud2b9\uc57d", "6905": "\ucd08\uc591\uc5b4\ucd0c\uacc4", "6906": "empty", "6907": "empty", "6908": "\uc2dc\ud765\ubb38\ud654\uc6d0 / \uc2dc\ud765\uc6d4\ubbf8\ub450\ub808\ud48d\ubb3c\ub180\uc774", "6909": "\ub2ec\ub9c8\uc9c0\ub9c8\uc744", "6910": "\uc6c0\uc9c1\uc774\ub294 \ub3d9\ubb3c\uc6d0", "6911": "\ud64d\ubcf4\uc655", "6912": "\uc8fc\ubbfc\uc18c\ube44\uc790\uc0dd\ud65c\ud611\ub3d9\uc870\ud569", "6913": "\uad8c\uc120\uace0\ub4f1\ud559\uad50", "6914": "empty", "6915": "\uae30\uc7a5\uad70 \uc77c\uad11\ud574\uc218\uc695\uc7a5 \uc77c\uc6d0", "6916": "\ud3ec\ud68d\uae08\uc9c0 \uc57c\uc0dd\ub3d9\ubb3c", "6917": "\ub18d\ub9bc\uc218\uc0b0\uc2dd\ud488\ubd80", "6918": "\ub18d\uc548 \uae30\uae08", "6919": "\uc13c\ud130\uc0ac\uc5c5\uc73c\ub85c\uc11c\uc758 \uc801\ud569\uc131", "6920": "3\ucc9c\ub9cc\uc6d0", "6921": "\ub300\uc7a5\uade0\uad70", "6922": "\ub18d\uc0b0\ubb3c\uc548\uc804\uc131\uc870\uc0ac\uacb0\uacfc", "6923": "\uc81c\uc8fc\uc2dc \uc11c\uadc0\ud3ec\uc2dc \ub300\uc815\uc74d \ubb34\ub989\ub9ac", "6924": "325\ucc9c\uc6d0", "6925": "\ud574\uc870\ub958, \ud574\ucd08\ub958, \ubb34\ucc99\ucd94\ub3d9\ubb3c, \uc5b4\ub958", "6926": "36.6\ucc9c\ud638", "6927": "empty", "6928": "\uc911\uad6d \uc0c1\ud574", "6929": "\ubc29\ubb38, \uc6b0\ud3b8, \uc778\ud130\ub137", "6930": "empty", "6931": "\uc548\ub3d9 \uac04\uace0\ub4f1\uc5b4", "6932": "empty", "6933": "\uae00\ub85c\ubc8c \uc2dc\uc7a5\ub300\uc751 \uc218\ucd9c\uc0c1\ud488\ud654", "6934": "2017.2\ubd84\uae30", "6935": "\uc544\ub77c\uc628\ud638", "6936": "empty", "6937": "6.27", "6938": "15\uac1c\uc18c", "6939": "9,300\ud1a4", "6940": "\uc9c8\ubcd1\uc608\ubc29", "6941": "\uc81c\uc8fc", "6942": "\uc624\ub300", "6943": "\ub3d9\ud574 \uadfc\ud574", "6944": "\uc804\ud654", "6945": "3", "6946": "\uc0c8\uc6b0\uc0b4", "6947": "\uc0b0\ub9bc\uccad", "6948": "460\ub9cc\uba85", "6949": "30\uba85 \ub0b4\uc678", "6950": "2,000\uba85", "6951": "\uc0c8\ub85c\uc6b4 \uc9c0\uc2dd\uc758 \uc2b5\ub4dd\uc744 \uc704\ud55c \ud559\uc2b5\ub178\ub825 \uc815\ub3c4", "6952": "empty", "6953": "\uc2e4\ud6a8\uc2b5\ub3c4 30%\ubbf8\ub9cc\uc774 2\uc77c\uc774\uc0c1 \uacc4\uc18d, \ucd08\uc18d 11m \uc774\uc0c1", "6954": "300\ub9cc\uc6d0", "6955": "\ubd80\ud3c9\uad6c \uc0b0\uace1\ub3d9", "6956": "\ud604\uc9c0\ud654 \uc804\ub7b5", "6957": "empty", "6958": "\uaddc\uc81c \uc644\ud654", "6959": "5", "6960": "empty", "6961": "5\ub144 \uc774\ud558 \uc9d5\uc5ed, 5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08", "6962": "\uc624\ub300\uc0b0", "6963": "7\ubc31\ub9cc\uc6d0", "6964": "3\uac1c \uae30\uad00", "6965": "2,216\ub450", "6966": "100\u33ca", "6967": "\ud658\uacbd\ubd80", "6968": "\ub300\ud615\uc0b0\ubd88 \uc8fc\uc758\ubcf4", "6969": "\ub3c4\uac1c\uace0\ub4f1\ud559\uad50", "6970": "empty", "6971": "2050 \ud0c4\uc18c\uc911\ub9bd\uc744 \uc704\ud55c \uae30\ud6c4\ubc95\uc548 \uc81c\uc548", "6972": "\uc0b0\ub9bc\uccad\uc7a5 \uc0c1\uc7a5 \uc0c1\uae08 200\ub9cc\uc6d0", "6973": "\uc9c1\uc0ac\uad11\uc120 \ub178\ucd9c", "6974": "10\uba85", "6975": "\uae08\uc0b0, \uad6c\ub840, \uc81c\uc8fc", "6976": "\ud55c\uad6d\ub85c\ubd07\uc735\ud569\uc5f0\uad6c\uc6d0 - \ucc38\uc5ec : \u321c\ud658\uacbd\uacfc\ud559\uae30\uc220", "6977": "\uc0ac\uba74\ub179\ud654", "6978": "30", "6979": "\uc0b0\ucd0c\uc774 \uc0b4\uc544\uc57c \uc0b0\ub9bc\uccad\uc774 \uc0b0\ub2e4!", "6980": "\ud45c\uace0", "6981": "\uc18c\ub098\ubb34\uc232\uc744 \ud65c\uc6a9\ud55c \uc0b0\ub9bc\ud734\uc591\uce58\uc720 \ubc0f \uc0b0\ub9bc\uc790\uc6d0 \uacf5\uc720 \uc21c\ud658\uacbd\uc81c \uac70\uc810", "6982": "\uc0b0\ub9bc\uc870\ud569\uc911\uc559\ud68c", "6983": "3.23", "6984": "\uc911\ucd94\uc2e0\uacbd\uacc4 \uc9c8\ud658", "6985": "\ud608\ub2f9\uac15\ud558, \ucf5c\ub808\uc2a4\ud14c\ub864 \uc800\ud558", "6986": "2016", "6987": "3\uba85", "6988": "\ub0a8\uad6c\ub3c4\uc2dc \uad00\ub9ac\uacf5\ub2e8", "6989": "empty", "6990": "4. 1.", "6991": "\ud638\ud761\uae30\uacc4 \uc9c8\ud658", "6992": "PCR", "6993": "KA-32 \uc5d0\uc5b4\ucee8 \uc2dc\uc2a4\ud15c \uc7a5\ucc29", "6994": "empty", "6995": "0.3\u00b0", "6996": "empty", "6997": "\ubd80\uc0b0\uad11\uc5ed\uc2dc", "6998": "3", "6999": "\ubd84\ubcc0-\uad6c\uac15 \uacbd\ub85c \uac10\uc5fc", "7000": "\ud1b5\ud569\uac10\uc2dc \uc2dc\uc2a4\ud15c", "7001": "\uc870\ub9bc\uc0ac\uc5c5 \ud6a8\uc728\ud654 \ubc0f \uc0b0\ucd0c\uc9c0\uc5ed \uacbd\uc81c \ud65c\uc131\ud654 \uae30\ubc18\uc870\uc131", "7002": "\uad11\uc8fc\uc2dc\uccad", "7003": "42.9%", "7004": "\uc11c\uc6b8\uc2dc \uad00\uc545\uad6c \uad00\uc545\ub85c 1, \uc11c\uc6b8\ub300\ud559\uad50 203\ub3d9 301\ud638", "7005": "12. 31", "7006": "\uc784\uc0c1\uc5f0\uad6c", "7007": "26.6\uba85", "7008": "33.2%", "7009": "1\ubc31\ub9cc\uc6d0", "7010": "5.1%", "7011": "\uc804\ub0a8\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "7012": "\uc2a4\ub9c8\ud2b8 \uac74\uac15\ub3c4\uc2dc", "7013": "\uc6b8\ud504\ub3c5", "7014": "empty", "7015": "\uc6d0\ucda9", "7016": "empty", "7017": "16\uac1c\uc18c", "7018": "595957", "7019": "empty", "7020": "24\ucc99", "7021": "\uc5b4\ub9b0\uc774\ud569\ucc3d\ub2e8, \uac70\ub9ac\ub9c8\uc220\uc1fc, \uc778\ub514\ubc34\ub4dc \ubc84\uc2a4\ud0b9", "7022": "empty", "7023": "\ub099\uc6b0\uc1a1, \uc885\ube44\ub098\ubb34 \ub4f1", "7024": "empty", "7025": "empty", "7026": "55\uc138 \uc774\ud558\uc778 \uc790\ub85c\uc11c \uc5b4\uc5c5\uc778\ud6c4\uacc4\uc790 \uc120\uc815 \ud6c4 3\ub144 \uc774\uc0c1 \uacbd\uc601\ud55c \uc790", "7027": "\uc790\uccb4\ub2a5\ub825\ubc30\uc591", "7028": "\ubb38\ud654\uccb4\uc721\uad00\uad11\ubd80", "7029": "\ud574\ub2f9\uc790\uce58\ub2e8\uccb4", "7030": "41\ud1a4", "7031": "20\uc810", "7032": "empty", "7033": "\ucda9\uccad\ub0a8\ub3c4 \ubd80\uc5ec\uad70", "7034": "\uacf6\uc790\uc648", "7035": "\uc81c\uc8fc\ub300\ud559\uad50 \uc544\uc5f4\ub300 \uc6d0\uc608\uc0ac\uc5c5\uc5f0\uad6c\uc18c", "7036": "\uc0dd\uc721\ud658\uacbd\uac1c\uc120\uc0ac\uc5c5", "7037": "7\ud488\ubaa9", "7038": "empty", "7039": "\uc2ec\uac01", "7040": "5.0ha", "7041": "1\ub144 \uc774\uc0c1 10\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed", "7042": "\ud589\ubcf5\ud55c \uc232", "7043": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc5f0\uad6c\uc6d0", "7044": "\uc601\ub9bc\uc784\uc5c5\u321c", "7045": "UL-2000", "7046": "\uacbd\uc0b0\uc5d0\uc601\ub18d\uc870\ud569\ubc95\uc778", "7047": "\uc11c\uc6b8 \ub9c8\ub9ac\ub098\ud074\ub7fd", "7048": "\uc6b0\ub9ac\uc9d1 \ub098\ubb34\ub3c4\ub9c8 DIY", "7049": "300\ub9c8\ub9ac \uc774\uc0c1/1ha 1000\ub9c8\ub9ac", "7050": "1993", "7051": "\uc0b0\uc0ac\ud0dc\ub300\ucc45\uc0c1\ud669\uc2e4 \uc6b4\uc601 \uc720\uad00\uae30\uad00 \ud611\uc5c5\uccb4\uacc4 \uc815\ube44 \ucde8\uc57d\uc9c0\uc5ed \ub4f1 \uc810\uac80\u2024\uc815\ube44", "7052": "\uc6d0\ud615\ubb34\ub300", "7053": "empty", "7054": "\ud55c\uad6d\ub4f1\uc0b0\u00b7\ud2b8\ub808\ud0b9\uc9c0\uc6d0\uc13c\ud0c0", "7055": "10", "7056": "\uad00\uc2ec", "7057": "empty", "7058": "3,000\uc5ec\uae30", "7059": "empty", "7060": "\uacf5\uacf5\ub370\uc774\ud130 \uac1c\ubc29 \uc124\uba85\ud68c", "7061": "\uc790\uc5f0\ubcf5\uc6d0\uc9c0", "7062": "\ub300\ud615\uc0b0\ubd88 \uc8fc\uc758\ubcf4", "7063": "\uc232\ud574\uc124\uac00", "7064": "\ub2e8\uae30\uc18c\ub4dd", "7065": "117\u339e", "7066": "17\uac74", "7067": "\uac15\uc6d0 \uac15\ub989", "7068": "10m", "7069": "\uccb4\ud5d8\uad50\uad6c \ub300\ud68c", "7070": "300\ub9cc\uc6d0", "7071": "50\ub9cc\uc6d0", "7072": "empty", "7073": "\uc548\uc804\uad00\ub9ac\uc790", "7074": "\ub124\ub9ac\ub098", "7075": "\uaf43\ub204\ub974\ubbf8 \ubd80\ucc44\ub9cc\ub4e4\uae30", "7076": "2\uae09", "7077": "5\ucc9c\ub9cc\uc6d0", "7078": "5\uc77c", "7079": "\uc778\uacf5\uc554\ubcbd\uccb4\ud5d8", "7080": "empty", "7081": "empty", "7082": "2", "7083": "\ubc15\ub78c\ud68c\uc7a5", "7084": "500\ub9cc\uc6d0", "7085": "\uc232\ud0d0\ubc29", "7086": "\uc232\ud0d0\ubc29", "7087": "\uc232 \uccb4\ud5d8\uff65\uad50\uc721", "7088": "\ucda9\ub0a8 \ud0dc\uc548", "7089": "\uc2e4\uc2b5", "7090": "2011", "7091": "\uc0b0\ub9bc\uccad\uc7a5", "7092": "empty", "7093": "\uc800\ube59\uace0, \uc785\ucc30\uc2e4, \uae30\uacc4\uc2e4, \ub300\uae30\uc2e4", "7094": "\ud1b5\ud589\ub85c\uc5d0 \uc7a5\uc560\ubb3c", "7095": "empty", "7096": "empty", "7097": "\uc548\uc804 \uad50\uc721\u00b7\uc7a5\ube44 \ucc29\uc6a9 \uc758\ubb34\ud654, \uc548\uc804\ub9e4\ub274\uc5bc \uc900\uc218", "7098": "10\uac1c\uc0ac", "7099": "\uace0\ub824\ub9c8\ud2b8", "7100": "empty", "7101": "\uc5ec\uc758\ub3c4 \ud55c\uac15 \uc2dc\ubbfc\uacf5\uc6d0 \ub108\ub978\ub4e4\ud310 \uad11\uc7a5", "7102": "\u25ab\ub300\uc99d\uc694\ubc95", "7103": "\uc804\ud654, \uc774\uba54\uc77c \ub610\ub294 \uc804\uc790\ubb38\uc11c\ub97c \ud1b5\ud558\uc5ec \uc2ec\uc0ac \uacb0\uacfc \ud1b5\ubcf4", "7104": "\ud608\uc561\uc81c\uc7ac", "7105": "40\ubd84", "7106": "empty", "7107": "\uac74\uac15\uc0dd\ud65c\uc2e4\ucc9c\uc728", "7108": "\uc2ec\uac01", "7109": "\uc778\ud50c\ub8e8\uc5d4\uc790", "7110": "\uc544\uc8fc\ub300\ud559\uad50\ubcd1\uc6d0", "7111": "empty", "7112": "\ubd80\uc0b0\uc5ed KTX \ud68c\uc758\uc2e4 512\ud638 \ud68c\uc758\uc2e4", "7113": "2018\ub144 9\uc6d4 11\uc77c \u223c 2019\ub144 4\uc6d4 30\uc77c", "7114": "4\uc8fc", "7115": "empty", "7116": "empty", "7117": "1% \ubbf8\ub9cc", "7118": "\ubc14\ub2e4\uc232", "7119": "1\ud68c", "7120": "\ud3c9\uade0 5-14\uc77c", "7121": "\ud55c\uad6d\ub85c\ubd07\ud559\ud68c", "7122": "\ubd84\ubcc0-\uad6c\uac15 \uacbd\ub85c \uac10\uc5fc", "7123": "\uc624\uc9d5\uc5b4 \uc790\uc6d0 \ud68c\ubcf5", "7124": "10\u223c20% \uc815\ub3c4", "7125": "4\u223c5\uc77c", "7126": "1\ubd84", "7127": "\u25ab\uc218\ubd84, \uc804\ud574\uc9c8 \ubcf4\ucda9 \ub4f1 \ubcf4\uc874\uc801 \uce58\ub8cc", "7128": "24\uac1c \ud300", "7129": "\uc720\ud1b5\uc815\ucc45\uacfc", "7130": "\uc5b4\uc5c5\ud68c\uc0ac\ubc95\uc778 \uc528\uc54c \uc8fc\uc2dd\ud68c\uc0ac", "7131": "2017", "7132": "\uc11c\ub958 \uc2ec\uc0ac", "7133": "empty", "7134": "1\uac1c\uc6d4\uac04", "7135": "118\uc5b5\uc6d0", "7136": "\uc870\uc0ac\uad00\uc758 \uc758\uacac\uc5d0 \ub300\ud55c \uc758\uacac\uc9c4\uc220", "7137": "empty", "7138": "empty", "7139": "\u25abSFTS", "7140": "empty", "7141": "empty", "7142": "\uc218\uc131\uad6c\uccad\uc5ed", "7143": "empty", "7144": "\ud504\ub791\uc2a4, \ub3c5\uc77c, \ud3ec\ub974\ud22c\uac08, \uc774\ud0c8\ub9ac\uc544, \uc601\uad6d", "7145": "58.5%", "7146": "empty", "7147": "\uc6d0\ucda9", "7148": "\ud638\uc8fc \ud504\ub791\uc2a4", "7149": "\uc544\uc774\ud2f0", "7150": "\u25ab1-7\uc77c", "7151": "\ud3ec\ub974\ud22c\uac08", "7152": "\uc9c0\uce74\ubc14\uc774\ub7ec\uc2a4 \uac10\uc5fc\uc99d", "7153": "300\ub9cc\uc6d0", "7154": "\ud0dc\uad6d", "7155": "empty", "7156": "20\uc8fc", "7157": "\uc2e4\uc678", "7158": "120\uba85", "7159": "\uc0b0\ubd88\uc9c4\ud654\uc790\uc6d0 \uc9c0\uc6d0 \ubc0f \uc0b0\ubd88\uc9c4\ud654 \uc784\ubb34 \uc218\ud589", "7160": "100", "7161": "empty", "7162": "\uace0\ud608\uc555, \ud611\uc2ec\uc99d", "7163": "\ub3d9\ud574\uccad", "7164": "\uc0c1\uc704\uad8c", "7165": "empty", "7166": "\uacbd\uc0c1\ub300\ud559\uad50", "7167": "15\uc5b5\uc6d0", "7168": "\uc81c\ud55c\uc5c6\uc74c", "7169": "\uc790\ud3ec \ub3d9\ubb3c", "7170": "\ud574\uc6b4\uc815\ucc45\uacfc", "7171": "empty", "7172": "\ubd80\uc548\uad70 \uc704\ub3c4", "7173": "\ubd81\uadf9", "7174": "\ubaa9\uc7ac \ubb34\uc5ed, \ub3c4\ub9e4", "7175": "empty", "7176": "\uce90\ub098\ub2e4 \ube45\ud1a0\ub9ac\uc544 \ub300\ud559", "7177": "\uc0b0\ub9bc\uc720\uc804\uc790\uc6d0\ubcf4\ud638\uad6c\uc5ed", "7178": "2\uba85", "7179": "\ud0dc\uacbd\uc2dd\ud488", "7180": "\uacbd\uae30\ub3c4 \ud30c\uc8fc\uc2dc", "7181": "300\ub9cc \uc6d0", "7182": "1\uc704", "7183": "\uc81c\uc8fc \ub0a8\ubc29\ud070\ub3cc\uace0\ub798 \ud0d0\uc0ac", "7184": "\uc778\ucc9c, \uc0c1\ud574", "7185": "\uad6d\uac00\uc5f0\uad6c\uac1c\ubc1c\uc0ac\uc5c5 \uc6b0\uc218\uc131\uacfc 100\uc120", "7186": "\uac00\uad6c\ubc29\ubb38 \uba74\uc811\uc870\uc0ac", "7187": "\ucd5c\uc6b0\uc218 \ubcf4\uac74\uc18c", "7188": "\uc644\ub3c4\ud56d \ud574\ubcc0\uacf5\uc6d0, \uc2e0\uc9c0\uba85\uc0ac\uc2ed\ub9ac\ud574\ubcc0", "7189": "12,800\ub9cc", "7190": "6\ud300", "7191": "\uad6d\ub9bd\uc218\uc0b0\uacfc\ud559\uc6d0\uc7a5", "7192": "\uadfc\uc721\uc758 \uacbd\ub828\uacfc \ud1b5\uc99d\uc744 \uc218\ubc18\ud569\ub2c8\ub2e4.", "7193": "\ub9e4\uc6b0\uc8fc\uc758", "7194": "\uc2dc\uc6d0\ud55c \uc7a5\uc18c\uc5d0\uc11c \ud734\uc2dd\uc744 \ucde8\ud558\uace0 \uc218\ubd84\uc744 \uc12d\ucde8", "7195": "\uc0c1\ub85d\ub9ac\uc870\ud2b8", "7196": "40\ub3c4", "7197": "\uacfc\ud559\uc801 \uc790\uc6d0\ud3c9\uac00 \ubc0f \ud1b5\uacc4\uad00\ub9ac \uac15\ud654", "7198": "\uce74\ubcf4\ubca0\ub974\ub370", "7199": "\ubb38\uc81c\uc810\uc774 \uc5c6\ub294 \ucd5c\uc0c1\uc758 \uc0c1\ud0dc", "7200": "3\uba85", "7201": "17.4", "7202": "empty", "7203": "\uc778\ucc9c(\uac15\ud654\uad70)", "7204": "\uc804\ub77c \uc804\ub77c\ub0a8\ub3c4 \uc11c\ubd80", "7205": "\uac00\ucd95 \ub610\ub294 \uc57c\uc0dd\ub3d9\ubb3c", "7206": "1\ub2e8\uacc4", "7207": "\ub18d\uc5c5\uc5f0\uc218\uc6d0", "7208": "\ud6c4\uae30\uc99d\uc0c1", "7209": "\ubc95\uc815\uc804\uc5fc\ubcd1 \uc2e0\uace0\uc758\ubb34\uc790\uc5d0 \uc758\ud55c \uc2e0\uace0", "7210": "\uc81c1\ud638", "7211": "\ubc1c\ud6a8 \ud6c4 \ucd5c\uc18c 6\uac1c\uc6d4\ub9c8\ub2e4", "7212": "empty", "7213": "empty", "7214": "\ucd08\u2027\uc911\ub4f1\ud559\uc0dd \ubc0f \ub3d9\uc5f0\ub839\ub300 \uccad\uc18c\ub144", "7215": "\uc138\uacc4 \uacb0\ud575\uc758 \ub0a0\uc744 \uae30\ub150\ud558\uc5ec", "7216": "\uccad\uc815 \uc791\uc5c5\ub300", "7217": "\ubc14\ub2e4\uc758 \ub0a0 \uae30\ub150 \ubb38\ud654\ud589\uc0ac", "7218": "empty", "7219": "empty", "7220": "\uc11d\ub450", "7221": "37.0%", "7222": "\uc0ac\ub791\uba3c\uc9c0, proud mary", "7223": "\uc5d0\uc774\uc988 \ud658\uc790\ub294 \uaca9\ub9ac\uc2dc\ucf1c\uc57c \ud55c\ub2e4", "7224": "\uc5b4\ucd0c\uccb4\ud5d8\ub9c8\uc744", "7225": "1\ub144 \uc774\ud558\uc758 \uc9d5\uc5ed \ub610\ub294 1\ucc9c\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "7226": "\uc740\ud3c9\uad6c", "7227": "empty", "7228": "3\ub144", "7229": "\uc694\ud2b8\ubd81", "7230": "3.32\uff5e10.47\uba85", "7231": "8\uc808", "7232": "\uc5ec\ud589 \uacf5\ubc29", "7233": "Zalopus japonicus", "7234": "\uc2e0\uc548\uad70 \uc120\ub3c4", "7235": "\uc218\uc0b0\ubb3c\ud488\uc9c8\uad00\ub9ac\uc6d0 \uc7a5\ud56d\uc9c0\uc6d0 \ud68c\uc758\uc2e4", "7236": "\uad6d\uc801\uc120\ubc15 \ub300\uc0c1", "7237": "\uc120\uace0\uc720\uc608", "7238": "\ubb38\uc81c\uc810\uc774 \uc5c6\ub294 \ucd5c\uc0c1\uc758 \uc0c1\ud0dc", "7239": "\ub18d\ub9bc\uc5b4\uc5c5\uc6a9", "7240": "1,000\ub9cc\uc6d0", "7241": "\ud574\uc591\uc0dd\ud0dc\uacfc", "7242": "\uc5f0\uc548\uc9d1\uc911\ud0d0\uad6c\uc0dd\ud65c", "7243": "empty", "7244": "empty", "7245": "50%", "7246": "empty", "7247": "empty", "7248": "empty", "7249": "\uad6d\ubbfc\ub300\ud559\uad50", "7250": "empty", "7251": "2", "7252": "\uc911\uc559\ud589\uc815\uae30\uad00\uc758 \uc7a5 \ubc0f \uc9c0\ubc29\uc790\uce58\ub2e8\uccb4\uc7a5 \ud3ec\uc0c1(\uacf5\ub85c\ud328 \ud3ec\ud568) \uc774\uc0c1", "7253": "27.9", "7254": "\ub274\uc9c8\ub79c\ub4dc, \ub9c8\uc15c\uc81c\ub3c4, \ub9c8\uc774\ud06c\ub85c\ub124\uc2dc\uc544, \uc194\ub85c\ubaac\uc81c\ub3c4 \ub4f1", "7255": "\uc624\ub300\uc0b0", "7256": "\uc6b8\uc9c4", "7257": "300\ub9cc\uc6d0", "7258": "\ud574\uc591\uc548\uc804 \uad6d\uc81c \ucee8\ud37c\ub7f0\uc2a4", "7259": "\uae40 \uac00\uacf5 \ubc0f \uc720\ud1b5", "7260": "37\ub144", "7261": "\ud658\uacbd\ubd80", "7262": "empty", "7263": "\ud560\ub784", "7264": "empty", "7265": "empty", "7266": "\ubd80\uc0b0 BEXCO", "7267": "\uc81c\uc8fcICC \ud55c\ub77c\ud640B", "7268": "\uc601\ud574\uae30\uc810 \uc8fc\ubcc0 \ud56d\ud574\uc120\ubc15 \ubaa8\ub2c8\ud130\ub9c1", "7269": "\ub9cc 55\uc138 \uc774\ud558\uc774\uace0 \uc5b4\uc5c5\uc778\ud6c4\uacc4\uc790 \uc120\uc815 \ud6c4 3\ub144 \uc774\uc0c1 \uacbd\uacfc\ud55c \uc790", "7270": "\uac15\uc6d0 \uc0bc\ucc99\uc2dc", "7271": "empty", "7272": "empty", "7273": "\uc57d\uac04\uc758 \uad74\uc808\uc774 \uc788\uc73c\ub098 \uace0\uc720\ud615\uc5d0 \uac00\uae5d\ub2e4", "7274": "\ubd88\ud569\uce58", "7275": "\uaf43\uc9c0\ud574\uc218\uc695\uc7a5", "7276": "\uc81c\uc8fcICC \ud55c\ub77c\ud640B", "7277": "\uad6c\uc5c4\uc5b4\ucd0c\uccb4\ud5d8\ub9c8\uc744", "7278": "\uc644\ucda9\uad00\ub9ac\uad6c\uc5ed", "7279": "\ud574\uc800\uc0dd\ud0dc \ud0d0\uc0ac\ud558\uae30", "7280": "\ud574\uc0ac\uc548\uc804\ubc95", "7281": "\ud55c\uad6d\ud574\uc591\uacf5\ud559\ud68c, \ud55c\uad6d\ud574\uc548\u2027\ud574\uc591\uacf5\ud559\ud68c", "7282": "\ud55c\uad6d\uc218\uc0b0\uc790\uc6d0\uad00\ub9ac\uacf5\ub2e8 \ub0b4\uc218\uba74\uc0dd\uba85\uc790\uc6d0\uc13c\ud130", "7283": "\uc778\ub3c4\ub124\uc2dc\uc544,\ub9d0\ub808\uc774\uc2dc\uc544,\ud544\ub9ac\ud540,\uc2f1\uac00\ud3ec\ub974,\ud0dc\uad6d,\ube0c\ub8e8\ub098\uc774,\ubca0\ud2b8\ub0a8,\ub77c\uc624\uc2a4, \ubbf8\uc580\ub9c8, \uce84\ubcf4\ub514\uc544", "7284": "\ucd1d5\ub300", "7285": "(\uc720)\ud574\uc9c4\ud574\uc6b4", "7286": "\ubd81\ub3d9\ud0dc\ud3c9\uc591 \uacf5\ud574\uc0c1C-C \ud574\uc5ed", "7287": "\ud1f4\uc801\ud658\uacbd, \ub300\ud615\uc800\uc11c\ub3d9\ubb3c, \uc5fc\uc0dd\uc2dd\ubb3c, \uc8fc\ubbfc\uc778\uc2dd\uc870\uc0ac", "7288": "\uae30\ud6c4\ubcc0\ud654", "7289": "\uc5b4\uc5c5\uc778 \uc0c1\uc9c0 \uadfc\uace8\uaca9\uacc4 \uc9c8\ud658 \uc5f0\uad6c", "7290": "\ub3d9\ubd80\uc9c0\ubc29\uc0b0\ub9bc\uccad", "7291": "\uc911\uc18c\ud574\uc6b4\uc0ac \uc77c\uac10 \ud655\ubcf4, LNG \ucd94\uc9c4\uc120 \uc6b4\ud56d \uacbd\ud5d8 \ucd95\uc801", "7292": "70%", "7293": "\ub0a8\ub300\uc11c\uc591\ub9ac\uc6b0\uadf8\ub780\ub370 \ud574\ub839", "7294": "empty", "7295": "\uccad\uc790\uace0\ub465", "7296": "\uc11c\ube44\uc2a4\uc0b0\uc5c5 \uc721\uc131", "7297": "\ub3c5\uc77c", "7298": "empty", "7299": "empty", "7300": "empty", "7301": "100\ub9cc\uc6d0", "7302": "empty", "7303": "empty", "7304": "empty", "7305": "\ud1b5\uc5ed \ubc0f \ubc88\uc5ed, \ud574\uc678\uc790\ub8cc\uc870\uc0ac", "7306": "empty", "7307": "empty", "7308": "10:30", "7309": "\uad6d\uac00\uad00\ub9ac\ubb34\uc5ed\ud56d", "7310": "\uad6d\uac00\uad00\ub9ac\uc5f0\uc548\ud56d", "7311": "\ud734\uc591\ub9bc\ucf54\ub514\ub124\uc774\ud130", "7312": "\uc758\uc7a5", "7313": "\ud0dc\uc548\uc11c\ubd80\uc2dc\uc7a5", "7314": "empty", "7315": "\uac00\uc744, \ub4f1\ub300\ub85c\uc758 \uce58\uc720 \uc5ec\ud589", "7316": "2\ud68c", "7317": "\ud574\uc591\uc218\uc0b0\ubd80\uc7a5\uad00\uc0c1", "7318": "empty", "7319": "\uc720\uc804\ud559\uc801 \ubc29\ubc95\uc744 \uc774\uc6a9\ud55c \uce5c\uc790\ud655\uc778 \uae30\uc220 \uac1c\ubc1c", "7320": "2,286\uc5b5\uc6d0", "7321": "30\u2264S(55", "7322": "\uc784\uc5c5\uc6a9\uc0b0\uc9c0", "7323": "2018\ub144", "7324": "\ud30c\ubd80\uce68\uc8fc", "7325": "\ub300\uc804\uad11\uc5ed\uc2dc", "7326": "\ud574\uc591\ubc14\uc774\uc624 \ud654\ud559", "7327": "\uc591\uc591 \uc1a1\uc774", "7328": "\uc6d0\uc591\uc5b4\uc5c5 \uc9c4\ucd9c 60\uc8fc\ub144 \uae30\ub150 \uc804\uc2dc\ud68c \uac1c\ucd5c", "7329": "\uc6d0\uc591\uc5b4\uc5c5 60\ub144\uc0ac", "7330": "30\ub9cc \uc6d0", "7331": "\uc218\ubaa9\uc6d0", "7332": "\uae40\ud3ec\uacf5\ud56d", "7333": "\uac00\ub355\ub3c4\ub4f1\ub300", "7334": "40\uba85", "7335": "\uc6cc\ud130\uc2a4\ud06c\ub9b0\uc744 \ud65c\uc6a9\ud55c \ubc14\ub2e4\uc18d \ud574\uc870\ub958\uc758 \uc2e0\ube44\ub97c \uc601\uc0c1\uc73c\ub85c \uad6c\ud604 \uc8fc\uc81c\uad00", "7336": "\uc2a4 \uc784\ucc28\ub8cc", "7337": "\uc11c\uc6b8\uc2dc", "7338": "400\ub9cc\uc6d0", "7339": "empty", "7340": "\ubd80\uc0b0 \uc218\uc0b0\uc790\uc6d0\uc5f0\uad6c\uc18c", "7341": "\uc5b4\uc5c5\uc790 \ubc0f \uc5b4\uc5c5\uc885\uc0ac\uc790\uc758 \uc218\u00b7\uc5f0\ub839\u00b7\uc131\ubcc4\u00b7\uacbd\ub825 \ub4f1", "7342": "empty", "7343": "\ubb3c\uac1c", "7344": "empty", "7345": "\uc624\uc9d5\uc5b4", "7346": "\uc138\uc885\ud2b9\ubcc4\uc790\uce58\uc2dc", "7347": "\ucc3e\uc544\uac00\ub294 \uc11c\ube44\uc2a4 \uc81c\uacf5", "7348": "empty", "7349": "\uc81c8\ud68c(2003\ub144)", "7350": "empty", "7351": "\uc120\uc0c1 \uc5b4\ub4dc\ubca4\ucc98 \ubbf8\uc158 \ud22c\uc5b4", "7352": "\uc804\ubcf5\uc7a5", "7353": "\uc5b4\ub780", "7354": "8.12\uff5e15", "7355": "4\ucc28 \uc0b0\uc5c5 \ud601\uba85\uacfc \ud56d\ub9cc", "7356": "\ub300\uc0c1", "7357": "\ubc24\ub098\ubb34, \ub290\ud2f0\ub098\ubb34, \ubc9a\ub098\ubb34, \ub2e8\ud48d\ub098\ubb34, \ub300\ucd94\ub098\ubb34, \ud45c\uace0", "7358": "\uc608\ube44\ub2e8\uacc4", "7359": "\ubc14\ub2e4\uc758 \ud558\ub298\uc744 \uac77\ub2e4", "7360": "\uc0c1\uae0815\ubc31\ub9cc\uc6d0", "7361": "\uc804\ubb38\ub300\uc878 \uc774\uc0c1", "7362": "14.0\uc870\uc6d0", "7363": "empty", "7364": "\uae30\uc9c0\uc6b4\uc601", "7365": "13:00 \uff5e13:30", "7366": "\ucda9\ub0a8 \uc11c\ucc9c\uad70", "7367": "30\uc810", "7368": "\ucd5c\uace0 \uae30\ud56d\uc9c0", "7369": "300\ub9cc\uc6d0", "7370": "\ub9dd\uac04\ub2e8\uad34", "7371": "\uace8\ub4e0\ud558\ub098\ud638", "7372": "empty", "7373": "\uac80\uc740\ub3cc\uc7a5\uc5b4", "7374": "empty", "7375": "\ud574\uc0c1\uc778\uba85\uc548\uc804\ud611\uc57d", "7376": "\uacf5\uae30, \uc811\ucd09, \ubb3c \ub4f1\uc758 \uacbd\ub85c\ub85c \uc804\uc5fc", "7377": "2\uff5e6\uc77c", "7378": "1\uff5e4\uc77c", "7379": "\uc5f0\uad6c\uac1c\ubc1c\uacc4\ud68d\uc11c", "7380": "2\uba85", "7381": "500\ub9cc\uc6d0", "7382": "\ud574\uc591\uc218\uc0b0\uacfc\ud559\uc6d0 \uac15\uc9c4\uc9c0\uc6d0", "7383": "\uc0b0\uc218\uc720, \ucc9c\ub9c8", "7384": "\ub0a8\uc560\ub9c8\uc744", "7385": "\uc0ac\uc5c5\ub2e8\uc7a5", "7386": "7\uc6d4", "7387": "empty", "7388": "2016.1.1", "7389": "3.21~3.22 3.21", "7390": "\uae08\uc735\uae30\uad00", "7391": "10", "7392": "60\ubb38\ud56d", "7393": "\uccad\ud0dc\uc0b0", "7394": "\ud574\uc591\uc218\uc9c8", "7395": "10\uac1c\uc18c", "7396": "\uc5f4\ub300 \ub3d9\uc778\ub3c4\uc591 \uc218\uad34, \ud574\ub958, \ud574\uc800\uc9c0\ud615 \ubc0f \uc218\uc911\ud1b5\uc2e0\ud658\uacbd \uc870\uc0ac", "7397": "4\ubc31\ub9cc\ud1a4", "7398": "16\uac1c", "7399": "110\ub9cc\uc6d0", "7400": "\uc57d 828 US$/\ud1a4", "7401": "empty", "7402": "\uad00\uc81c\ud1b5\uc2e0 \ubbf8\ub179\uc74c\u00b7\ubbf8\ubcf4\uc874", "7403": "\ubc84\uc12f", "7404": "\uc758\ub8cc\uc6a9 \ud654\uc0c1\uc2a4\ucf54\ud504", "7405": "7\uac1c", "7406": "\uba78\uce58\uc2a4\ub0b5", "7407": "\uad70\uc0b0\ub300\ud559\uad50 \ud658\uacbd\uac74\uc124\uc5f0\uad6c\uc18c", "7408": "\uc7a5\ud654", "7409": "empty", "7410": "\uc11c\uc9c4\ubc14\uc774\uc624\ud14d", "7411": "\uae00\ub85c\ub9ac\uc2a4\ud0c0\ud638", "7412": "\uadf9\uc9c0\uc5f0\uad6c\uc18c", "7413": "\ubc31\ubbf8\ub9ac\ub9c8\uc744", "7414": "empty", "7415": "8kg", "7416": "\ud574\uc591\uc548\uc804 \uad6d\uc81c \ucee8\ud37c\ub7f0\uc2a4", "7417": "\uc804\ub77c\uad8c", "7418": "2015\ub144 \ucc29\uacf5(\uc2e4\uc2dc\uc124\uacc4 \uc911)", "7419": "6\uac1c", "7420": "3\uba85", "7421": "empty", "7422": "4\uac1c", "7423": "\uc804\uc7a5 20m \ubbf8\ub9cc \ub610\ub294 20\ud1a4 \ubbf8\ub9cc \uba74\uc81c", "7424": "\uc2e4\ubbf8\ub3c4", "7425": "empty", "7426": "empty", "7427": "5\uc5b5\uc6d0 \uc774\ub0b4", "7428": "empty", "7429": "\ubc14\ub2e4\uc5ec\ud589 \uc0ac\uc774\ud2b8", "7430": "\ud574\uc591\uc911\uc18c\ubca4\ucc98\uc9c0\uc6d0", "7431": "172\uc5b5\uc6d0", "7432": "empty", "7433": "\ud574\uc591\uc2ec\uce35\uc218 \ud65c\uc6a9\ubd84\uc57c", "7434": "\ub178\ub974\uc6e8\uc774", "7435": "20\ud300", "7436": "20\ub144", "7437": "2,000\ud574\ub9ac", "7438": "60", "7439": "\ubcd1\uc220\ub9cc\ub9c8\uc744", "7440": "\ud654\uc131 \ubc31\ubbf8\ub9ac", "7441": "\ub3c4\uc7a5\ud3ec\ub9c8\uc744", "7442": "20% \ubbf8\ub9cc", "7443": "\ub3c4\uc2dc\ub9bc \uc591\uc801\u2024\uba74\uc801 \ud604\ud669\uc744 \ud30c\uc545", "7444": "empty", "7445": "empty", "7446": "\uc5bc\uc74c\uc370\ub9e4\uc7a5", "7447": "\ubc31\uc0ac\uc7a5", "7448": "10", "7449": "\ud574\uacbd, \uc218\uacfc\uc6d0", "7450": "D", "7451": "\uae38\uc774 8\u339d\uc774\uc0c1", "7452": "\uc120\uac10", "7453": "\ud654\uc131\uc2dc", "7454": "\uc0bc\uc601\ubb3c\ub958 \ud55c\uad6d\ud6c4\uc9c0\uc81c\ub85d\uc2a4", "7455": "empty", "7456": "SIGNATURE 602F -\ud604\ub300\uc694\ud2b8(\uc8fc)", "7457": "\ud574\uc591\uc0dd\ud0dc\uacfc", "7458": "\uc804\uad6d", "7459": "\ud574\uc591\uc218\uc0b0\ubd80", "7460": "empty", "7461": "\uc218\uc0b0", "7462": "200\ub9cc\uc6d0", "7463": "\uac70\uc81c\uc2dc \uc11c\uc774\ub9d0 \ub4f1\ub300 \uc778\uadfc", "7464": "\uac15\uc6d0(\uc18d\ucd08) *\uc18d\ucd08\uc2dc \ubb38\ud654\ud68c\uad00", "7465": "\uc870\uc0ac\uae30\uad00 \uacf5\ud1b5\ub2e8\uacc4", "7466": "\uad50\uc218", "7467": "empty", "7468": "\ud55c\uad6d\ud574\uc591\uc218\uc0b0\uc5f0\uc218\uc6d0", "7469": "\uc778\ucc9c\uccad", "7470": "empty", "7471": "\uc591\uc2dd\uc7a5", "7472": "\ud654\uc7ac", "7473": "\ucc9c\uc804\ucd08", "7474": "10\uba85", "7475": "\uc870\ub9bc\uc0ac\uc5c5", "7476": "\ubc2d", "7477": "empty", "7478": "empty", "7479": "\uc77c\ubc18\uc778", "7480": "\uc8fc \uac04\uaca9 \uc870\uc0ac", "7481": "empty", "7482": "\uc561\ud654\uac00\uc2a4\uc6b4\ubc18\uc120 A\ud638, \ucf00\ubbf8\uceec\ud0f1\ucee4 B\ud638 \ucda9\ub3cc\uc0ac\uac74", "7483": "6,697\uc5b5", "7484": "\uc81c\uc8fc,\uc2e0\uc81c\uc8fc,\uc11c\uadc0\ud3ec", "7485": "83\uba85", "7486": "empty", "7487": "empty", "7488": "empty", "7489": "\ubb38\ubb34\ub300\uc655\ud568", "7490": "\uc2a4\uc704\uc2a4", "7491": "\uc9c4\ucc9c (2015) \uae40\uc81c", "7492": "\uc0b0\uc5c5\uc751\uc6a9\uae30\uc220\ubd84\uacfc\uc704\uc6d0\ud68c", "7493": "\uc11c\ube44\uc2a4\uc815\ucc45\uc9c0\uc6d0\uc2e4", "7494": "\uacf5\ub3d9\uc774\uc6a9\uc2dc\uc124", "7495": "\uc2b9\uc18c", "7496": "\uad6d\ub0b4 \uc8fc\uc694 \uc815\ubcf4\ud1b5\uc2e0 \ubc0f \ub124\ud2b8\uc6cc\ud06c \uc0b0\uc5c5\uacc4\uc758 \uc8fc\uc694\uc7a5\ube44 \uc804\uc2dc\uc640 \ube44\uc988\ub2c8\uc2a4 \uc0c1\ub2f4 \uc9c4\ud589", "7497": "PCB \ubc0f \uc804\uc120", "7498": "\uc870\uc9c0\uba54\uc774\uc2a8\ub300", "7499": "empty", "7500": "\uc2a4\ub9c8\ud2b8 \ud329\ud1a0\ub9ac", "7501": "\uc544\uc2dc\uc544\ub098IDT", "7502": "\uace0\ud6a8\uc728 \uc804\ub825\u00b7\uc5d0\ub108\uc9c0 \ubc18\ub3c4\uccb4 \uae30\uc220\uac1c\ubc1c", "7503": "\uc12c\uc720\uc81c\ud488 \ubd80\uc9c1\ud3ec", "7504": "10\uba85 \uc774\uc0c1", "7505": "empty", "7506": "\ud575\uc2ec\uc124\ube44 \uc810\uac80\uacb0\uacfc, \ubc29\ubc95 \ubc0f \uc808\ucc28 \uc810\uac80", "7507": "2005.8.13", "7508": "empty", "7509": "\uae30\uc220\ud3c9\uac00", "7510": "\ud734\uc2a4\ud134 \uac00\uc2a4\ud14d", "7511": "\uc7a5\ub824\uc0c1", "7512": "\ud55c\ubd88\uc7ac\uacc4\ud68c\uc758(Korea-France Business Council) \uc124\ub9bd", "7513": "\uc804\ub7b5\ud575\uc2ec\uc18c\uc7ac \uc790\ub9bd\ud654 \uae30\uc220\uac1c\ubc1c\uc0ac\uc5c5", "7514": "empty", "7515": "167\uac1c\uc0ac", "7516": "2002.3", "7517": "empty", "7518": "empty", "7519": "\uce60\ub808", "7520": "2000\ub144", "7521": "\ud574\uc0c1\ud48d\ub825 \ubcf4\uae09 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uc560\ub85c\uae30\uc220", "7522": "\ud488\ubaa9\ubcc4 \ud604\ud589\uae30\uc900 \ub300\ube44 5\uff5e10lm/W\uc529 \uc0c1\ud5a5", "7523": "\ub300\uc804", "7524": "\uacf5\uc874", "7525": "2010\ub144 10\uc6d4", "7526": "\ubcf8\ubd80 \ub300\ud68c\uc758\uc2e4", "7527": "\uc608\uc0c1\ubd80\uc9c0\ub0b4 \ud1a0\uc9c0\uc18c\uc720 \ud604\ud669\uc5d0 \ub530\ub978 \ubd80\uc9c0\ud655\ubcf4 \uc6a9\uc774\uc131", "7528": "K-Global \uc2a4\ub9c8\ud2b8 \ub514\ubc14\uc774\uc2a4 \ubd84\uc57c \uacf5\ubaa8\uc804", "7529": "\ubd80\uc0b0\ud2b9\ud654\ud615 \uc218\ucd9c\ud5c8\ube0c", "7530": "\uc778\ub3c4", "7531": "10%\uc774\ub0b4", "7532": "empty", "7533": "empty", "7534": "\uc0b0\uc5c5\uae30\uc220\uc815\ucc45\uacfc", "7535": "empty", "7536": "\uc815\ubd80\ucd9c\uc5f0\uae08\uc758 4.00%", "7537": "\uc81c\uc8fc\ub3c4\ubbfc\uc758 \uc5d0\ub108\uc9c0\ube44\uc6a9 \uc808\uac10", "7538": "empty", "7539": "\uc218\uc790\uc6d0 \uad00\ub9ac \ud611\ub825, CEPA \uacf5\ub3d9\uc5f0\uad6c \ub4f1", "7540": "4\ub144", "7541": "\uba54\uc774\ud50c\ub8f8", "7542": "\ub098\ud504\ud0c0\uc81c\uc870\uc6a9\uc6d0\uc720", "7543": "COEX", "7544": "empty", "7545": "4.14(\uae08)", "7546": "empty", "7547": "empty", "7548": "10\ub144", "7549": "empty", "7550": "\ud574\uc678 \uc720\uba85\uc5c5\uccb4\uc758 \ube0c\ub79c\ub4dc\uc5d0 \ub85c\uc5f4\ud2f0\ub97c \uc8fc\uace0 \uc0ac\uc6a9\ud558\ub294 \ubc29\uc2dd", "7551": "\uc0b0\ud654\uc131\uace0\uccb4", "7552": "empty", "7553": "empty", "7554": "105 \ud488\ubaa9", "7555": "2,800\uc5b5", "7556": "\ubc18\ub3c4\uccb4\uc81c\uc870\uc6a9\uc7a5\ube44", "7557": "\ud574\uc0c1\uc6a9 \uc678\uc7a5\uc7ac\ub8cc", "7558": "\ubc00", "7559": "95.2%", "7560": "60\uc5b5\uc6d0", "7561": "\uc804\uc790\uc815\ubd80 \uc2dc\uc7a5\ud3ec\ub7fc", "7562": "\uc7ac\ud65c\uc6a9\uacbd\uc601", "7563": "265.8\uc5b5\uc6d0", "7564": "\ud0a4\ub974\uae30\uc988", "7565": "empty", "7566": "empty", "7567": "\ud55c\uad6d\ud504\ub77c\uc2a4\ud2f1\uacf5\uc5c5\ud611\ub3d9\uc870\ud569\uc5f0\ud569\ud68c \ud55c\uad6d\ud50c\ub77c\uc2a4\ud2f1\uc81c\uc870\uc5c5\ud611\ub3d9\uc870\ud569", "7568": "\uad6d\ub0b4\uc5d0\uc11c \uac1c\ubc1c \uc644\ub8cc\ub418\uc5b4 \uc2e0\uccad\ub9c8\uac10\uc77c \uc804\uae4c\uc9c0 \uc0c1\uc6a9\ud654\uc5d0 \uc131\uacf5\ud55c \uae30\uc220", "7569": "\uc804\ub0a8\uc5ec\uc218\uc5d0 \ud569\uc131\uace0\ubb34 \uc81c\uc870\uacf5\uc7a5 \uc124\ub9bd", "7570": "3\ub144\uac04", "7571": "\ud589\uc815\uc790\uce58\ubd80", "7572": "1\ucc9c\ub9cc\uc6d0 \uc774\ud558", "7573": "\uc778\uc99d\ud5c8\uac00\uad00\ub828 \uad6d\uc81c\ud611\ub825 \ubc0f \uad50\ub958", "7574": "4\uac1c", "7575": "\ub4f1\uc0b0\uc6a9 \ub85c\ud504, \uac00\uc18d\ub208\uc379, \uc2a4\ud3ec\uce20\uc6a9 \uad6c\uba85\ubcf5", "7576": "\ub124\ud2b8\uc6cc\ud06c \uc2dc\uc2a4\ud15c", "7577": "\uc8fc\uc758! - \uc0c1\ud574 \uc704\ud5d8", "7578": "\ud314\ud0c4\uacf5\ub2e8 \uc9c4\uc785\ub85c \ud655\ud3ec\uc7a5\uacf5\uc0ac \uc694\ub9dd", "7579": "empty", "7580": "empty", "7581": "\uc624\ub9ac\uc628\uc804\uae30", "7582": "empty", "7583": "31\uba85", "7584": "empty", "7585": "\uc544\uc778\uae00\ub85c\ubc8c", "7586": "\uc720\uce58\uae30\ubc18\uc870\uc131", "7587": "\uc544\ud30c\ud2b8, \ubb38\ud654\uc13c\ud130", "7588": "\uc131\uade0\uad00\ub300 \ud55c\uc591\ub300", "7589": "\uc2e0\ucd95\uc131, \ud761\ud55c\uc18d\uac74\uc131, \ud56d\uade0\uc131", "7590": "179\ub9cc", "7591": "KINTEX", "7592": "1971\ub144 2 \uc6d4 5 \uc77c", "7593": "\uae30\uc220\uae30\ud68d", "7594": "15.7\uc5b5\uc6d0", "7595": "\ucc28\ub7c9\uc6a9SW/ \uc804\uae30\ucc28/ \ud558\uc774\ube0c\ub9ac\ub4dc", "7596": "\ucc3d\uc758\uc131/\uc608\uc220\uc131", "7597": "1\ud300", "7598": "\ub2e4\uae30\ub2a5 \ubcf5\ud569\ubb38\uc11c \ucc98\ub9ac\uae30 Laser Multifunction Product", "7599": "433\uc5b5\uc6d0", "7600": "\uc778\ucc9c \ubd80\ud3c9\uad6c", "7601": "TFT-LCD, \uc720\uae30 EL, PDP", "7602": "\uc0bc\uc131\ud14c\ud06c\uc708(\uc8fc)", "7603": "empty", "7604": "150\uba85", "7605": "\ud30c\ub808\uc2a4\ud638\ud154", "7606": "\uc778\uc1c4\ubb3c, \uce90\ub9ad\ud130, \uad11\uace0, \ubc29\uc1a1 \ub4f1", "7607": "\ub18d\uc57d \uc911\ub3c5 \uc608\ubc29\uc81c, \uc2e0\uacbd\uc791\uc6a9\uc81c \uc608\ubc29\uc6a9 \uc57d\uc81c \uac1c\ubc1c \ubd84\uc57c", "7608": "\ud53c\ub4dc\ubc31", "7609": "empty", "7610": "\ub9e4 12\uc6d4", "7611": "\uce58\uacfc\uc6a9 \uc758\ub8cc\uae30\uae30", "7612": "\uac74\uc124\uc5c5", "7613": "\ud3ec\ud56d", "7614": "117\uac1c", "7615": "\ubc18\uc6d4\uc2dc\ud654", "7616": "\ud55c\uad6d\uc640\uc774\uc5b4\uc544\ud2b8\ud611\ud68c", "7617": "empty", "7618": "\ud55c\uc804 KDN", "7619": "\uc9c0\uc6d0\uc2dc\uc124 \ubc0f \uc0dd\uc0b0\uc2dc\uc124", "7620": "\uc11c\uc6b8", "7621": "\uce5c\ud658\uacbd \uad11\uae30\uc220\uae30\ubc18 \uc735\ud569\ubd80\ud488\u00b7\uc18c\uc7ac\uc0b0\uc5c5 \uc721\uc131\uc0ac\uc5c5", "7622": "412.6", "7623": "\ubb38\ud654\u00b7\ud658\uacbd\uc5ec\uac74 \uac1c\uc120", "7624": "\ud504\ud0c8\ub808\uc774\ud2b8\uacc4 \uac00\uc18c\uc81c", "7625": "105 \uc774\uc0c1", "7626": "\uc5d0\ub108\uc9c0\ud504\ub85c\uc288\uba38", "7627": "100\uc77c", "7628": "50\uba85", "7629": "empty", "7630": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "7631": "2013.\ud558\ubc18\uae30 2016.\uc0c1\ubc18\uae30 2016.12\uc6d4", "7632": "1975", "7633": "\ucc28\ub7c9 \ubd84\uacfc", "7634": "off-line\uc0c1\ub2f4\ud68c", "7635": "empty", "7636": "AMOLED \ud328\ub110 \uc124\uacc4\u00b7\uacf5\uc815\u00b7\uc81c\uc870\uae30\uc220", "7637": "\uc0b0\uc5c5\ubcc4 \ubc1c\uc804\uc804\ub7b5 \ub4f1\uacfc \uc5f0\uacc4\ud55c Top-down\ubc29\uc2dd \uc911\uc2ec\uc73c\ub85c \uac1c\ud3b8", "7638": "9\uc5b5", "7639": "\ubaa8\ud5d8\uc801", "7640": "\uc5d0\uc5b4\ucee8 \uc5d0\ub108\uc9c0\ud6a8\uc728 \uaddc\uc81c", "7641": "\uace0\uc6a9\uc720\ubc1c 1,003\uba85, \uc0dd\uc0b0\uc720\ubc1c 3,343\uc5b5\uc6d0", "7642": "\ud1b5\uacc4\uccad\uc7a5", "7643": "\uc7ac\ub09c\uc0ac\uace0 \uc2e4\uc2dc\uac04 \uc9c0\ud718 \ud1b5\uc81c", "7644": "empty", "7645": "\ucd08\uc804\ub3c4 \ucf00\uc774\ube14", "7646": "empty", "7647": "\ucf54\uc5d1\uc2a4 \uc778\ud130\ucee8\ud2f0\ub128\ud0c8 \ud638\ud154 \uc11c\uc6b8 \ub2e4\uc774\uc544\ubaac\ub4dc\ud640", "7648": "\uce5c\ud658\uacbd \ub0c9\ub9e4", "7649": "empty", "7650": "\uc9c0\uc5ed\uac70\uc810 \uc5ed\ud560\uc744 \ud558\ub294 \uc911\uc18c\ub3c4\uc2dc\uc640 \uc5f0\uacc4\uc131\uc774 \ub192\uc740 \uc778\uadfc \ub18d\uc5b4\ucd0c\uc9c0\uc5ed\uc73c\ub85c \uad6c\uc131", "7651": "\uc2e4\uac10\ud615\ucf58\ud150\uce20", "7652": "empty", "7653": "empty", "7654": "\uc2e0\uae30\uc220\u2027\uc81c\ud488 \uac1c\ubc1c\uc5d0 \uacf5\uc774 \ud070 \uc790", "7655": "\uc790\ub3d9\ucc28\uacf5\uc5c5\ud611\ud68c", "7656": "empty", "7657": "\ub3d9\ub0a8, \uc720\ucc3d\ud558\uc774\ud14c\ud06c", "7658": "\u25aa\uc785\uc8fc\uae30\uc5c5 \uc6a9\uc9c0\ub9e4\uc785\ube44 \uc735\uc790, \ud1a0\uc9c0 \ub4f1 \uc784\ub300\ub8cc \uac10\uba74 \ub4f1", "7659": "\ub300\ud615 \uc5d0\ub108\uc9c0 \ud504\ub85c\uc81d\ud2b8 \uc218\uc8fc \ubc0f \uc790\uae08\uc9c0\uc6d0 \uc591\ud574\uac01\uc11c", "7660": "\uc6a9\ub3c4\ubcc0\uacbd\uc744 \ud1b5\ud55c \ubbfc\uac04\ub9e4\uac01 \ucd94\uc9c4", "7661": "empty", "7662": "\uc0b0\uc5c5\ubd80", "7663": "\uc804\uae30\ucc28 \ucda9\uc804\uc778\ud504\ub77c\uc758 \ud6a8\uc728\uc801 \ubcf4\uae09\ubaa8\ub378 \uac1c\ubc1c", "7664": "404\uac74", "7665": "empty", "7666": "\ubbf8\ub798\uc18c\ud504\ud2b8\uc6e8\uc5b4,\uc601\ub9bc\uc6d0\uc18c\ud504\ud2b8\ub7a9,\uc138\uc6d0EDS,\ud558\ub098\uc815\ubcf4\uae30\uc220", "7667": "\uae30\uacc4\ub85c\ubd07\ud3c9\uac00\ud300", "7668": "2\uac1c", "7669": "KAIST", "7670": "1,775\ubc31\ub9cc \ub2ec\ub7ec", "7671": "\uacbd\uc601\ub9c8\uc778\ub4dc \uacfc\uc815", "7672": "3216\uc5b5\ubd88", "7673": "empty", "7674": "empty", "7675": "\ub0a8\ub300\ubb38 \uc2dc\uc7a5", "7676": "\ub0a8\ubd80 \ubc1c\uc804", "7677": "\uc0b0\ubd88\uc608\ubc29 \ud5ec\uae30\uacf5\ub3d9", "7678": "empty", "7679": "\ud734\ub300\uc6a9 \ucd08\ubc15\ub9c9 \ud0dc\uc591\uad11 \ucda9\uc804\uc81c\ud488 \uc804\uc2dc", "7680": "\ub3c4\ub18d\uc5f0\uacc4\uc0dd\ud65c\uad8c", "7681": "\ubbf8\uc5fd\uc0b0\uc5c5\uc0ac", "7682": "\ub300\uc804", "7683": "\uc131\ub2a5\ud3c9\uac00\uc7a5\ube44", "7684": "\uc911\uc18c\uc911\uacac", "7685": "\uc7a5\uc778\uc0fe", "7686": "\ud0dc\uc591\uad11", "7687": "\uc7a5\uc131+\uc601\uad11+\ud568\ud3c9", "7688": "\uac80\uc0ac\uc131\uc801\uc11c", "7689": "\uae40\ubd09\uad6c", "7690": "\ub4dc\ub860 \ucd94\uc9c4\uc2dc\uc2a4\ud15c \uc124\uacc4 \ubc0f \uc131\ub2a5\uc608\uce21", "7691": "\ubd80\uc0dd\uc218\uc18c", "7692": "\uc11d\ucd9c\uc0c1 \ubd84\uc0b0\uc81c\uc5b4 \ub2c8\ucf08\uacc4 \uc724\ud65c\ud569\uae08 \uc81c\uc870\uae30\uc220", "7693": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "7694": "12", "7695": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "7696": "\ub124\ud2b8\uc6cc\ud0b9", "7697": "\uc0ac\uc5c5\uacc4\ud68d \uc801\uc815\uc131", "7698": "\ubb38\ud654\ubb34\uc5ed", "7699": "R&D \uc9c0\uc6d0", "7700": "\uad00\ub828\uae30\uad00\uacfc \ud22c\uc790\ud611\uc758\ud68c", "7701": "\ud574\uc591\uc0dd\ubb3c \uc2dd\uc758\uc57d \uc18c\uc7ac\uc0b0\uc5c5 \uc721\uc131", "7702": "\ube44\ub300\uba74 \uc774\ub3d9\ud1b5\uc2e0 \uac00\uc785\uc11c\ube44\uc2a4 \uae30\uc220\uae30\uc900(\uc548) \uac1c\ubc1c", "7703": "2\ub144", "7704": "\uc2dc\u00b7\ub3c4\ubcc4 \ub3d9\uc77c \ud504\ub85c\uadf8\ub7a8", "7705": "\uc0b0\uc5c5\ubd80", "7706": "\ud1b5\uad00\uc9c0\uc6d0", "7707": "\uc911\uad6d", "7708": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \ubbfc\uac04\ubd80\ub2f4\uae08\uc758 20.0% \uc774\uc0c1", "7709": "empty", "7710": "3", "7711": "\uc5d0\ub108\uc9c0\uc2e0\uc0b0\uc5c5 \ud65c\uc131\ud654 \uacf5\ub3d9\ud611\uc57d", "7712": "\uae30\uc5c5 \uc790\uccb4 \uc120\uc815", "7713": "\ubbf8\uad6d", "7714": "\uc815\ubd80\ucd9c\uc5f0\uae08\uc758 10%", "7715": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0\uad00", "7716": "\ub18d\uc5c5\uae30\ubc18\uacf5\uc0ac", "7717": "empty", "7718": "\uc218\ub3c4\uad8c \uc778\uc811\uc9c0\uc5ed", "7719": "\uacf5\uc0b0\ud488", "7720": "4\ucc28\uc0b0\uc5c5\ud601\uba85 \uc2dc\ub300\uc640 \ubbf8\ub798 \uc77c\uc790\ub9ac \ubcc0\ud654 \ub4f1\uc5d0 \ub300\ud55c \ud2b9\uac15", "7721": "(\uc8fc)\uc544\ubaa8\uadf8\ub9b0\ud14d", "7722": "3\ub144", "7723": "176\uac1c", "7724": "\ud55c\uad6d", "7725": "7\ub144", "7726": "\uc5f0\ube44\uad00\ub9ac\uae30\uad00", "7727": "\uc9c0\uc2dd\uc7ac\uc0b0\uad8c", "7728": "\uc2dc\uba58\ud2b8\uc6a9 \uc11d\ud68c\uc11d", "7729": "\uad6d\ub0b4\uc678 \ud1b5\uc0c1\ud658\uacbd \ubcc0\ud654\uc640 \ud3ec\uc6a9\uc801 \ud1b5\uc0c1", "7730": "\ud06c\ub9ac\uc2a4\ud0c8 \uc9c0\ub178\ubbf9\uc2a4", "7731": "\ud6a8\uc131", "7732": "\uc120\uc81c\uc801\u00b7\uc0ac\ud6c4\uc801 \ub300\uc751\uccb4\uacc4 \uad6c\ucd95", "7733": "FITI\uc2dc\ud5d8\uc5f0\uad6c\uc6d0", "7734": "empty", "7735": "100%", "7736": "\uc778\ud558\ub300", "7737": "\ub300\ub7c9\uc0dd\uc0b0\uc744 \ud1b5\ud574 \uc2f8\uace0 \uc88b\uc740 \uc81c\ud488\uc744 \uc18c\ube44\uc790\uc5d0\uac8c \uacf5\uae09", "7738": "empty", "7739": "\ubaa8\ubc14\uc77c \uc704\uc82f \uc800\uc791\ub3c4\uad6c \uac1c\ubc1c \ubc0f \ube44\uc988\ub2c8\uc2a4\ubaa8\ub378 \uc5f0\uad6c", "7740": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "7741": "empty", "7742": "20\uc5b5\uc6d0", "7743": "\uc911\ub300\ud615 \uc774\ucc28\uc804\uc9c0 \uc2dc\ud5d8\uc778\uc99d \ud3c9\uac00\uae30\ubc18 \uad6c\ucd95", "7744": "\ube14\ub808\uc774\ub4dc \ud14c\uc2a4\ud2b8 \uc2dc\uc5f0", "7745": "10\uc5b5\uc6d0", "7746": "Smart \uac00\uc804\ubcf4\uae09 \uc218\uc900", "7747": "\uc6b4\uc804 \uc0c1\ud0dc\uc5d0\uc11c \uc9c1\ub82c\ub9ac\uc561\ud130 \uc0c1\ud0dc \ubaa8\ub2c8\ud130\ub9c1\uc744 \uc704\ud55c \uc778\ub355\ud134\uc2a4 \uce21\uc815 \uae30\uc220", "7748": "3\ub144", "7749": "\uc6d0\uc0b0\uc9c0 \uc815\ubcf4\uc6d0", "7750": "\ud0dc\uc591\uad11 \uc5f0\uacc4 ESS \uc778\uc13c\ud2f0\ube0c \uc81c\uacf5", "7751": "22.8%", "7752": "empty", "7753": "\uc0ac\uc804\uac80\ud1a0", "7754": "\ud55c\uac15\uc218\uacc4\uc758 \uc218\uc9c8 \ubc0f \uc790\uc5f0\ud658\uacbd\uc758 \ubcf4\uc804\uc774 \ud544\uc694\ud55c \uc9c0\uc5ed", "7755": "\ucf5c\ub86c\ube44\uc544", "7756": "\uc131\ubcc4\uc601\ud5a5\ubd84\uc11d\ud3c9\uac00\ubc95", "7757": "\uad11\ub9bc\uc804\uc790", "7758": "\u318d\uc911\uad6d\uc73c\ub85c \uc0d8\ud50c \uc1a1\ubd80", "7759": "empty", "7760": "empty", "7761": "empty", "7762": "\ub178\ud2c0\ub2f4", "7763": "empty", "7764": "6\uba85", "7765": "(\uc8fc)\uc5d0\ub545", "7766": "\uc778\uc218", "7767": "6,300\u793e", "7768": "empty", "7769": "\ube0c\ub77c\uc9c8\ud55c\uad6d\uc0c1\uacf5\ud68c\uc758\uc18c", "7770": "\ubbf8\uad6d", "7771": "\uae08\uc18d", "7772": "\ud56d\uacf5 \ud6c8\ub828", "7773": "\uc804\ub825", "7774": "\uac00\uc2a4\uc628\uc218\uae30", "7775": "\uac1c\uc778 \ubcf4\uc720 \ubaa8\ub378\ub9c1 \ub370\uc774\ud130 \uc720\ucd9c, \ubd88\ubc95 \ubcf5\uc81c\ub97c \ud1b5\ud55c \uc800\uc791\uad8c \uce68\ud574 \ub4f1", "7776": "\uc804\uba74", "7777": "\ud48d\ub825 \ube14\ub808\uc774\ub4dc, \uc5f0\ub8cc\uc804\uc9c0, \uc2dc\ucd94\uc6a9 \ud30c\uc774\ud504", "7778": "\ubbf8\ub798\ubd80, \uad50\uc721\ubd80, \uc0b0\uc5c5\ubd80, \uace0\uc6a9\ubd80", "7779": "empty", "7780": "empty", "7781": "\ubb38\uc11c\ud654\ub41c \ud45c\uc900", "7782": "\uc720\ube44\uace0", "7783": "\ubbf8\uad6d, \uc601\uad6d, \ub3c5\uc77c, \ud504\ub791\uc2a4, \uc77c\ubcf8, \uc911\uad6d", "7784": "2017-2019", "7785": "\uc8fc\uac70\uae09\uc5ec", "7786": "\uc11c\uc6b8\u00b7\uacbd\uae30\u00b7\uc778\ucc9c", "7787": "3D\ub514\uc790\uc778 \ubcc0\ud658, 3D\uc2a4\uce90\ub2dd, 3D\ub514\uc790\uc778 SW \ub4f1", "7788": "empty", "7789": "\ud569\uc131\uc218\uc9c0", "7790": "16\uc704", "7791": "53.2% \u2192 2010.11\uc6d4\ub9d0 66.5%", "7792": "46.8%", "7793": "\uc9c0\ub2a5\ub85c\ubd07", "7794": "\uae08\uc0b0\uc778\uc0bc\ucd95\uc81c \ud65c\uc131\ud654", "7795": "\ub3fc\uc9c0\uace0\uae30", "7796": "\uacbd\ubd81\ub300", "7797": "25\ub144", "7798": "\uad11\ud1b5\uc2e0\uc6a9 \ubb34\uc218\uad11\uc12c\uc720 \uc81c\uc870\uae30\uc220", "7799": "\uc81c\uc8fc\ud5ec\uc2a4\ucf00\uc5b4\ud0c0\uc6b4", "7800": "empty", "7801": "\ubcf4\uc740 \ub300\ucd94\u00b7\ud55c\uc6b0\ud2b9\uad6c, \uc81c\ucc9c \uc57d\ucd08\uc6f0\ube59\ud2b9\uad6c", "7802": "\ud22c\uc790\uc720\ub9dd\uae30\uc5c5 \ubc1c\uad74", "7803": "95\uac1c", "7804": "\uc0bc\uc131SDI(\uc8fc)", "7805": "896\uc5b5\uc6d0", "7806": "empty", "7807": "\ud034\ub180\ub860\uacc4 \ud56d\uade0\uc81c \ud329\ud2f0\ube0c", "7808": "empty", "7809": "LG\uc804\uc790, \uc0bc\uc131\uc804\uc790", "7810": "\uc9c0\ub780\uc9c0\uad50-\ucda9\ub0a8\ub300", "7811": "\uc2dd\ud488\uc758\uc57d\ud488\uc548\uc804\uccad", "7812": "\uc735\ud569 \uc81c\ud488 \uc778\uc99d \uc2e0\uc18d\ucc98\ub9ac(fast track), \ubcf5\uc218\uc778\uc99d \uac04\uc18c\ud654 \ub4f1", "7813": "\ub125\uc2a4\ud2b8\uc774\uc628", "7814": "\uc131\uade0\uad00\ub300\ud559\uad50", "7815": "\ub300\uc0c1", "7816": "\uc911\uad6d", "7817": "\uc11c\uac15\ub300\ud559\uad50", "7818": "2008\ub144 12\uc6d4 20\uc77c", "7819": "\uacbd\ubd81\ub300", "7820": "empty", "7821": "\uc911\uc559\uc2dc\uc7a5", "7822": "\uc5f0\uc138\ub300 \uc6d0\uc8fc\ucea0\ud37c\uc2a4", "7823": "15\uc5b5", "7824": "empty", "7825": "\ub300\ud55c\ubcd1\uc6d0\ud611\ud68c", "7826": "\uc218\uc6a9\uac00\uc6a9 ESS", "7827": "empty", "7828": "\ud3c9\uac00\uad00\ub9ac", "7829": "empty", "7830": "4,115\ucc9c\u3398", "7831": "79\uac1c\uc0ac", "7832": "empty", "7833": "\uc54c\uce7c\ub9ac \uae08\uc18d", "7834": "\uc0b0\uc5c5\uc735\ud569\uae30\uc220", "7835": "empty", "7836": "empty", "7837": "\u321c\uc704\ube44\uc2a4", "7838": "\ub3d9\uc6d0\uace0\ub824\uc778\uc0bc", "7839": "\ud654\ud559\u2027\uae08\uc18d\u2027\ube44\uae08\uc18d", "7840": "\uae30\uc220\ubcf4\uc720 \uc131\uc7a5\ub2e8\uacc4 \uc720\ub9dd \uc911\uc18c\uae30\uc5c5", "7841": "\ud604\uc7ac\uc77c\ub958\uc0c1\ud488 \uc0dd\uc0b0\uae30\uc5c5", "7842": "\uc0b0\uc5c5\uc6a9\uae30\uae30", "7843": "\uc0dd\uba85\uae30\uc220", "7844": "\ud3ec\uc2a4\ucf54\ubca0\ud2b8\ub0a8", "7845": "empty", "7846": "\uc2e0\ud55c\uc740\ud589", "7847": "KOTRA \ub300\ud68c\uc758\uc2e4", "7848": "\uc790\uc5f0\uc778", "7849": "38\uac1c\uad6d", "7850": "\uc77c\ubcf8", "7851": "\uc790\ub3d9\ucc28\ubd80\ud488", "7852": "\ucca0\uac15", "7853": "empty", "7854": "empty", "7855": "\uc720\uc9c4\ub85c\ubd07", "7856": "\uc804\ubb38\ub300\ud559\uc0b0\ud559\ud611\ub825 \ud3ec\ub7fc", "7857": "\ud504\ub808\uc2a4 \uc13c\ud130", "7858": "\ud574\uc591\ubc14\uc774\uc624 \uae30\ub2a5\uc131 \uc2dd\ud488", "7859": "\ud1b5\uc2e0\uc5c5 \uc804\uccb4", "7860": "\ud55c\uad6d\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "7861": "\uacf5\uc815 \uc194\ub8e8\uc158 \ud328\ud0a4\uc9c0", "7862": "500\ub9cc\uc6d0", "7863": "\uc81c\uc870\uc5c5 \ubc0f \uc11c\ube44\uc2a4 \ub4f1 \ub9ac\ube44\uc544\uc640\uc758 \uacf5\ub3d9 \ud504\ub85c\uc81d\ud2b8", "7864": "(\uc8fc) \ubc31\uc0b0OPC", "7865": "33\ub144 9\uc6d4", "7866": "empty", "7867": "\uacbd\ubd81\ub300", "7868": "\uc9c0\ub2a5\ud615 \uc804\uae30\ub85c \uc2dc\uc2a4\ud15c \uac1c\ubc1c, \ud604\uc7a5 \uc801\uc6a9", "7869": "\uc2a4\ub9c8\ud2b8\ud3f0", "7870": "\uc99d\uae30\ubc1c\uc0dd\uae30", "7871": "empty", "7872": "\ud3c9\uac00\uc704\uc6d0\ud68c", "7873": "\uc815\uc804 \ub300\ube44", "7874": "\ubd80\ucc9c", "7875": "empty", "7876": "\u25aa\uc0b0\uc5c5\uacbd\uc7c1\ub825\uac15\ud654\ubc95", "7877": "\uc790\ub3d9\ucc28, \ucca8\ub2e8\uc0b0\uc5c5", "7878": "500kW \ubbf8\ub9cc", "7879": "empty", "7880": "\u25b8\ub300\uc548 \uc911 \uac00\uc7a5 \ub9ce\uc740 \uac00\uad6c\uc5d0 \ud560\uc778\ud61c\ud0dd \uc81c\uacf5", "7881": "\uc0b0\uc5c5\ubd80\uc7a5\uad00, \ud1b5\uc0c1\uad50\uc12d\ubcf8\ubd80\uc7a5, \uad00\uc138\uccad\uc7a5 \ub4f1", "7882": "empty", "7883": "\ud734\ub300 \ub2e8\ub9d0 \ud1b5\uc2e0\uc744 \uc774\uc6a9\ud55c \uc794\ub958\ub18d\uc57d \uac80\ucd9c \uc815\ubcf4 \uc81c\uacf5", "7884": "10\ub9cc\uc6d0", "7885": "\ucf54\uc624\ub871\uae00\ub85c\ud14d(\uc8fc)", "7886": "Chiomenti", "7887": "\uc218\uc0b0\uc5c5", "7888": "74\ub9cc\ub300", "7889": "empty", "7890": "33.1%", "7891": "\ud48d\uc7a521 \uc608\uc220\ub2e8", "7892": "\ube44\uc0c1\ubc1c\uc804\uae30 \uac00\ub3d9, \ub0c9\ubc29\uc2dc\uc124 \uc911\uc9c0, \uacf5\uae30\uc555\ucd95\uae30 \ub300\uc218\uc870\uc815\u00b7\uc911\uc9c0", "7893": "\ud0a8\ud14d\uc2a4 2\uc804\uc2dc\uc7a5 301-302\ud638", "7894": "\uc694\ub85c\uae08\uc18d", "7895": "\uc0c1\ud574 \uc778\ud130\ub0b4\uc154\ub110 \ud544\ub984&TV \ud328\uc2a4\ud2f0\ubc8c", "7896": "empty", "7897": "\ud50c\ub79c\ud2b8\u00b7\uc5d0\ub108\uc9c0\uc7a5\ube44", "7898": "\uce5c\ud658\uacbd \uacf5\uc815", "7899": "empty", "7900": "\uc0bc\uc131\uc804\uc790, SK\ud558\uc774\ub2c9\uc2a4, \ub3d9\ubd80\ud558\uc774\ud14d", "7901": "\uc694\uc18c \ubc0f \ubaa8\ub4c8 \uae30\uc220 \ud50c\ub7ab\ud3fc \uae30\uc220 \ud3c9\uac00\uc778\uc99d \uae30\uc220", "7902": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "7903": "\uc804\ubd81 \uc644\uc8fc", "7904": "empty", "7905": "\ud574\uc591\ud50c\ub79c\ud2b8", "7906": "\uc0b0\uc5c5\uae30\ubc18\uae30\uae08\uc911 \uc2e0\uae30\uc220\ubcf4\uae09\uc0ac\uc5c5", "7907": "18\uac1c\uc6d4", "7908": "\u25aa\uc790\ubcf8\uc7ac\uc0b0\uc5c5 \uc721\uc131\ub300\ucc45(1995\uff5e1999) \ub300\ud45c\ud488\ubaa9 \u25aa\uc804\uae30, \uc804\uc790", "7909": "\uc678\uad6d\uc778 \ud22c\uc790\uc5c5\uc885 \uc81c\ud55c \uc644\ud654 \ub4f1", "7910": "10%", "7911": "\uace0\ud6a8\uc728 \ud788\ud2b8\ud38c\ud504 \ub0c9\uc628\uc218\uae30", "7912": "\uc81c\ub85c\uc5d0\ub108\uc9c0 \uc2dc\uc7a5", "7913": "empty", "7914": "\uc120\ud589\uae30\uc220\uc870\uc0ac \uc0ac\uc5c5", "7915": "\uc6a9\ud574, \uc8fc\uc870, \uc555\uc5f0, \ubd84\ub9d0 \uacf5\uc815", "7916": "\ud540\ub780\ub4dc", "7917": "Job Fair", "7918": "empty", "7919": "\ud45c\uc900\ud654 \ucee8\ud14c\uc774\ub108(40ft \uae30\uc900 6MW PCS) \ubc29\uc2dd \uacf5\uae09", "7920": "\ubbfc\ubc95", "7921": "empty", "7922": "\uae30\uc220\ud2b9\uc131", "7923": "11\uc870 2,771\uc5b5\uc6d0", "7924": "\uc0b0\uc5c5\ubc1c\uc804\ubc95", "7925": "empty", "7926": "\uc804\ud22c \ud65c\ub3d9\uc131 \ubc0f \uacbd\ub7c9\uc131, \ubcf4\uc628\uc131 \ub4f1\ud5a5\uc0c1\ud1a0\ub85d \uac1c\uc120", "7927": "\ub0c9\uc7a5\uace0", "7928": "26%", "7929": "empty", "7930": "Dac Nong", "7931": "empty", "7932": "\uc6b8\uc0b0\uacfc\ud559\uae30\uc220\ub300", "7933": "\uae30\uc220\ud2b9\uc131", "7934": "empty", "7935": "4.5%", "7936": "\ud604\uc7a5 \uc778\ud134\uc27d", "7937": "\uc804\ub825\uc2dc\uc7a5\uc5d0\uc11c \uad6c\ub9e4\ud55c \uc804\ub825\uc744 \ub2e4\uc591\ud55c \uc694\uae08\uc81c\ub97c \uc801\uc6a9\ud558\uc5ec \uc218\uc6a9\uac00\uc5d0 \uc804\ub825 \uc7ac\ud310\ub9e4", "7938": "\ud3ec\uc2a4\ud14d", "7939": "\uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4 \ud55c\uc591\ub300 *** \ucc28\uc138\ub300TV \ub4f1 \uba40\ud2f0\ubbf8\ub514\uc5b4 SoC \ubc0f \ud50c\ub7ab\ud3fc \uae30\uc220", "7940": "\uae30\ubcf8 \ud504\ub85c\uadf8\ub7a8 : CAD, \ud68c\ub85c\uc124\uacc4, C\uc5b8\uc5b4 \ub4f1", "7941": "empty", "7942": "\uacfc\ud559\uae30\uc220\ubd80", "7943": "\uc9c0\uc5ed\uccad\ub144\ud601\uc2e0\uce74\ud398", "7944": "\uc218\uc694\uc790 \uc911\uc2ec\uc758 \uae30\uc5c5\uc9c0\uc6d0 \uacf5\uc6a9\uc7a5\ube44 \uc9c0\uc6d0\uc13c\ud130 \uc124\uce58", "7945": "10\uac1c", "7946": "13%", "7947": "empty", "7948": "\ub3c5\uc77c", "7949": "50%", "7950": "empty", "7951": "40\uc810", "7952": "empty", "7953": "empty", "7954": "\uc2e4\ubc84\uc0b0\uc5c5 \uc804\ubb38\uc778\ub825 \uc591\uc131 \uc0ac\uc5c5", "7955": "3\uac74", "7956": "\u25e6\uc77c\ubcf8", "7957": "\uae00\ub85c\ubc8c \uac15\uc18c\uae30\uc5c5\ud654", "7958": "53.8\ub9cc\uac1c", "7959": "\ud574\uc678\uc804\uc2dc\ud68c \uacf5\ub3d9\ud64d\ubcf4 \uc0ac\uc5c5", "7960": "\u25e6\ubcf4\uc99d\uae08\uacfc \uac19\uc774 \uc120\uc218\uae08\ub3c4 \uc774\uc790\ub97c \uc9c0\uae09\ud568\uc73c\ub85c\uc368 \uc18c\ube44\uc790\uc5d0 \ub300\ud55c \ubd88\uacf5\uc815 \uc18c\uc9c0 \ud574\uc18c", "7961": "54\uc138", "7962": "\uc2e0\uc138\uacc4 \uc774\ub9c8\ud2b8", "7963": "\ubc31\ud654\uc810", "7964": "\ud601\uc2e0\uc9c0\uc6d0\uacfc", "7965": "\ud654\uc7a5\ud488", "7966": "\uc81c\uc9c0\u2027\uc12c\uc720", "7967": "NEW IT, \uc758\uc57d\u2024\ubc14\uc774\uc624", "7968": "\uacbd\uc601\uc790\ubb38\ub2e8\uc758 \uacbd\uc601\uc804\ubc18\uc5d0 \ub300\ud55c \uba58\ud1a0\ub9c1", "7969": "\uad6d\uc81c\uae30\uad6c\uc5d0\uc758 \uac00\uc785, \uc678\uad6d \ub2e8\uccb4 \ubc0f \uc5c5\uccb4\uc640\uc758 \uc5c5\ubb34\ud611\ub825 \uac15\ud654\ubc29\uc548", "7970": "\uc804\ubd81\ub098\ub178\uae30\uc220\uc9d1\uc801\uc13c\ud130", "7971": "\uc601\ub0a8\ub300, \uae08\uc624\uacf5\ub300, \uacbd\ubd81\ub300, \uacc4\uba85\ub300", "7972": "\ubb34\ud611", "7973": "[\uc81c15\uc870]", "7974": "\uc11c\uc0b0 \ucca0\ub9c8\uc0b0 \uc815\ubc00 \uc9c0\uc9c8\uc870\uc0ac, \uc9c0\ud45c \ubb3c\ub9ac\ud0d0\uc0ac, \ud0d0\uc0ac \ub300\uc0c1 \uad11\uccb4 \ud655\ubcf4", "7975": "\ub3c4\uc2dc\ubc00\ucc29\ud615 \ud0dc\uc591\uad11 \ubc1c\uc804\ubaa8\ub4c8 \uae30\uc220 \uace0\ub3c4\ud654 \ubc0f \uc124\uce58 \uae30\uc220 \uac1c\ubc1c", "7976": "empty", "7977": "\uc804\ub7b5\uc0b0\uc5c5\uc9c0\uc6d0 \uc2b5\uc2dd\ud45c\uba74\uacf5\uc815 \ud50c\ub7ab\ud3fc \uae30\uc220 \uc0ac\uc5c5", "7978": "\uc544\ub85c\ub9c8\ud2f1\uc2a4", "7979": "\ub3c4\uc2dc\ubc14", "7980": "\ubc29\uc1a1\ud1b5\uc2e0\ubc1c\uc804\uae30\ubcf8\ubc95", "7981": "\uc9c0\ub2a5\ud615\uc804\ub825\ub9dd", "7982": "\ud56d\ub9cc\uc124\uce58 \uc6a9\uc774\uc131", "7983": "\uc601\ub3d9\uad70", "7984": "68%", "7985": "SFD\ud2b9\ud654\uc18c\uc7ac \ubc0f Masterpiece\uc81c\ud488", "7986": "\uc77c\ubcf8", "7987": "\uac24\ub7ed\uc2dc\ub178\ud2b82 \uc635\ud2f0\uba38\uc2a4G", "7988": "\uc624\ub80c\uc9c0\uc96c\uc2a4", "7989": "\uc804\ubb38\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc18c", "7990": "\ub86f\ub370\ubc31\ud654\uc810", "7991": "0.07%", "7992": "\ubbf8\uad6d", "7993": "\ub86f\ub370\ubc31\ud654\uc810, \ud604\ub300\ubc31\ud654\uc810, \uc2e0\uc138\uacc4\ubc31\ud654\uc810", "7994": "1991\ub144 8\uc6d4 31\uc77c", "7995": "\uc57d 5,000\uac1c", "7996": "\uc0b0\uc5c5\ubd80\uc7a5\uad00, \ud1b5\uc0c1\uad50\uc12d\ubcf8\ubd80\uc7a5, \uad00\uc138\uccad\uc7a5 \ub4f1", "7997": "\uace0\uc6a9\ud3c9\ub4f1, \uc778\ub825\uac1c\ubc1c, \uc548\uc804\ubcf4\uac74, \ub178\uc0ac\uad00\uacc4, \uc724\ub9ac\uacbd\uc601\uc81c\ub3c4 \ud3c9\uac00", "7998": "249\uac74", "7999": "empty", "8000": "\uacbd\uae30 \uad70\ud3ec \uae08\uc815\ub3d9 692-8", "8001": "\uce90\ub098\ub2e4", "8002": "\ucd1d\uad04\uae30\uad00", "8003": "\uc790\uc6d0\uac1c\ubc1c", "8004": "\uc624\uac00\ud0a4\uc815\uacf5 \uc8fc\uc2dd\ud68c\uc0ac", "8005": "\ub77c\uba74, \uacfc\uc790, \ube59\uacfc\ub958, \uc544\uc774\uc2a4\ud06c\ub9bc\ub958", "8006": "\ud544\ub9ac\ud540", "8007": "\uc81c\uc870\ubb3c \uc77c\ubc18", "8008": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "8009": "164\uac1c\uad6d", "8010": "15\uac1c\uad6d", "8011": "\ud0dc\uc591\uad11", "8012": "6\uac1c\uad6d 13\uac1c\uad6d", "8013": "\ubd84\uacfc 1", "8014": "\ud604\ub300 i40, K5, YF\uc3d8\ub098\ud0c0 \ub4f1", "8015": "115", "8016": "300\ud3c9", "8017": "\uadf8\ub798\ud540 \ud45c\uc900\ud654 \ub85c\ub4dc\ub9f5", "8018": "105\uba85", "8019": "281\uc5b5\uc6d0", "8020": "\uc218\uc1a1\uc2dc\uc2a4\ud15c", "8021": "2017", "8022": "empty", "8023": "empty", "8024": "empty", "8025": "KOTRA", "8026": "GB-SLAB \uac1c\ubc1c\ud300", "8027": "empty", "8028": "\ucca0\ub3c4", "8029": "\uc5f0\ud3c9\uade0 10% \uc774\uc0c1", "8030": "20\uc5b5\uc6d0", "8031": "5\ub9cc5\ucc9c\uc6d0", "8032": "\ud55c\uad6d\uc804\uc790\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\ud68c", "8033": "empty", "8034": "\uad50\uc721\uacfc\ud559\uae30\uc220\ubd80", "8035": "\uad50\uacfc\ubd80 \ucd94\ucc9c\uc2dc \uac00\uc810", "8036": "empty", "8037": "\uace0\uc628 \ud0c8\ud669\uc81c \uad6d\uc0b0\ud654 \uac1c\ubc1c", "8038": "empty", "8039": "\uc911\uad6d", "8040": "\uae08\uc561\uc694\uac74\uc744 5\ubc31\ub9cc\ubd88\ub85c \uc644\ud654", "8041": "5,417\uc5b5\ubd88", "8042": "\uace0\ub824\ub300\ubcd1\uc6d0, \uc544\uc8fc\ub300\ubcd1\uc6d0, \uc5f0\uc138\ub300\ubcd1\uc6d0", "8043": "empty", "8044": "13\uac1c\uad6d", "8045": "\ube44\uc83c \uc815\ubcf4 \uc735\ud569 \uc0b0\uc5c5\uc6a9 \ub85c\ubd07 \uc81c\uc5b4", "8046": "AI\uae30\ubc18 \uc2a4\ub9c8\ud2b8\ud558\uc6b0\uc9d5 \uae30\uc220\uac1c\ubc1c", "8047": "0.2 L/min", "8048": "5\ub144", "8049": "\ub300\ud559", "8050": "\ud654\ud559\uc7ac\ub8cc\ubd80\ubb38", "8051": "67.5\ub9cc\uba85", "8052": "\uae08\uc735\uc9c0\uc6d0 \ubd84\uacfc", "8053": "81\ub9cc\uba85", "8054": "P-\uba64\ubc84", "8055": "empty", "8056": "70\uc5b5\uc6d0", "8057": "\ud654\ud559\uc5f0\uad6c\uc6d0", "8058": "empty", "8059": "\uc758\ub8cc \ub85c\ubd07", "8060": "\ubc1c\uc804", "8061": "8\uc138\ub300\uae09", "8062": "empty", "8063": "\uc138\uc298 \uc804\uc774 \uc8fc\ud30c\uc218", "8064": "empty", "8065": "\uc12c\uc720", "8066": "empty", "8067": "3\ud3b8", "8068": "5\uff5e10", "8069": "\uc2e0\ud3c9\uc7a5\ub9bc \uc77c\ubc18\uc0b0\uc5c5\ub2e8\uc9c0", "8070": "\ud0dc\uad6d", "8071": "empty", "8072": "\uae30\ub300\ud6a8\uacfc \uc218\uc900", "8073": "\ucca8\ub2e8 \ud654\ud559", "8074": "empty", "8075": "\ubca0\ud2b8\ub0a8\uacfc \uad6d\ubc29\ud611\ub825 \uac15\ud654\ub97c \uc704\ud55c \uc81c2\ucc28 \uad6d\ubc29\uc804\ub7b5\ub300\ud654 \uac1c\ucd5c", "8076": "\uc21c\uc218 R&D", "8077": "\uace0\ubd80\uac00\uac00\uce58 \ud328\ud0a4\uc9c0\ud615 \uc0b0\ud559\ud611\ub825 \ud504\ub85c\uadf8\ub7a8 \uc9c0\uc6d0", "8078": "\ubc14\uc774\uc624", "8079": "\ud55c\u00b7\uc911 FTA \ud488\ubaa9\ubcc4 \uc6d0\uc0b0\uc9c0 \uad00\ub9ac, \uc6d0\uc0b0\uc9c0 \uad00\ub9ac\uc0ac", "8080": "\ub9c8\uc2a4\ud130\ud50c\ub79c\uc0ac\uc5c5", "8081": "2,031\ubc31\ub9cc\ubd88", "8082": "\ucca0\uac15", "8083": "\uc7a5\ubcf4\uace0 \uae30\uc9c0", "8084": "\uc561\uc815\ub514\ubc14\uc774\uc2a4", "8085": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc6d0", "8086": "14\uac1c", "8087": "\uc9c0\uc815\uacf5\ubaa8", "8088": "\uac1c\ub9c9\uc2dd", "8089": "\ud55c\ubcf5 \uc1fc\ud551\ubc31", "8090": "\ubb34\uc5ed\ud611\ud68c", "8091": "empty", "8092": "LNG\uc6b4\ubc18\uc120", "8093": "empty", "8094": "\ud55c\uad6d\uc758 \ubc95\uc815\uacc4\ub7c9 \uc81c\ub3c4 \ubc0f \uc815\ucc45", "8095": "\ucc28\uc138\ub300 \uc77c\ub958\uc0c1\ud488", "8096": "\uace0\uc6a9\ubd80", "8097": "empty", "8098": "17~19\uc2dc", "8099": "30\ubd84", "8100": "LG\uc804\uc790", "8101": "\uc2a4\ub9c8\ud2b8 \ub514\ubc14\uc774\uc2a4", "8102": "empty", "8103": "empty", "8104": "\uc804\ub825\uc0ac\uc6a9 \ud328\ud134\uc5d0 \ubd80\ud569 \u25b8\ud560\uc778\ud61c\ud0dd\uc758 \ubcf4\ud3b8\uc801 \uc81c\uacf5\uacfc \uc218\uae09\uad00\ub9ac \uce21\uba74 \ub4f1 \uc885\ud569 \uace0\ub824", "8105": "empty", "8106": "\ub2c8\ucf08-\ud06c\ub86c \ub3c4\uae08, \uc544\uc5f0\ub3c4\uae08", "8107": "\ud574\uc678\uacac\ud559", "8108": "30", "8109": "3\uac1c\uc6d4\ud45c\uc2dc\uc815\uc9c0", "8110": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8111": "empty", "8112": "50", "8113": "empty", "8114": "\ud638\uc11c\ub300", "8115": "109.7%", "8116": "\ubaa9\uac78\uc774, \ubc18\uc9c0, \uc218\uc800, \uadf8\ubc16\uc758 \uadc0\uae08\uc18d\uac00\uacf5\uc0c1\ud488", "8117": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uc6d0", "8118": "\uc989\uc2dc\ucca0\ud3d0", "8119": "\uc678\uad6d\uc778 \ud22c\uc790\uc720\uce58\uc640 \ubb34\uad00\ud55c \uacf5\ud56d\uad00\ub828 \uc2dc\uc124", "8120": "\uc608\uc0b0\uc0ac\uc5c5", "8121": "2012", "8122": "empty", "8123": "\uad6d\uc81c\ub85c\ubd07\ucee8\ud37c\ub7f0\uc2a4", "8124": "1", "8125": "\uc608\uc0b0\uc0ac\uc5c5", "8126": "\uc778\uc801\uc790\uc6d0 \uac1c\ubc1c", "8127": "empty", "8128": "\uc0b0\uc5c5\ubd80", "8129": "\ubbf8\ub798\ud615\uc790\ub3d9\ucc28", "8130": "\ub300\ud55c\uc0c1\uc758", "8131": "\uc9c0\uc5f4\uacfc \uc720\uc0ac\ud55c \uc131\uaca9\uc73c\ub85c\uc11c, \ud3ec\ud568 \uc694\uad6c \uc81c\uae30", "8132": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\uc6d0 \ub300\uac15\ub2f9", "8133": "\uc804\uc790 \ub514\uc2a4\ud50c\ub808\uc774", "8134": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0", "8135": "\uc774\ub860\ud615 \ud559\uc704 \ud2b8\ub799 \ud604\uc7a5\ud615 \ud559\uc704 \ud2b8\ub799", "8136": "2006.8\uc6d4\uff5e2010.7\uc6d4", "8137": "\uc774\ub959\uac70\ub9ac", "8138": "\uc2e0\uc131\ud1b5\uc0c1", "8139": "\uc9c0\uc6d0\uc2dc\uc124\uad6c\uc5ed\ub9cc \uc785\uc8fc \uac00\ub2a5 \u00b7\uc0b0\uc5c5\uc2dc\uc124\uad6c\uc5ed\ub3c4 \uc785\uc8fc \uac00\ub2a5 (\uc77c\uc815 \uc694\uac74 \ucda9\uc871\uc2dc)", "8140": "87%", "8141": "empty", "8142": "empty", "8143": "400\uac1c", "8144": "\uadf8\ub9b0\ub098\ub77c, DN, JSC", "8145": "\uc544\uc2dc\uc544 \ub514\uc790\uc778\uc758 \ube44\uc804\uacfc \ubbf8\ub798", "8146": "31%", "8147": "\uc6d0\uc804\uc548\uc804, \uc218\ucd9c\uc6a9 \uc6d0\uc804 \uac1c\ub7c9, \uc720\ub9dd\ubd84\uc57c(\ud574\uccb4, \uc0ac\uc6a9\ud6c4\ud575\uc5f0\ub8cc \ub4f1)", "8148": "\uc2e0\uc138\uacc4 \uba74\uc138\uc810 \ud648\ud398\uc774\uc9c0, SNS \ucc44\ub110\ub4f1\uc744 \uc5f0\uacc4\ud55c \uc2dc\uc7a5\uc628\ub77c\uc778 \ud64d\ubcf4", "8149": "empty", "8150": "empty", "8151": "KOTRA \uc9c0\uc2dd\uc7ac\uc0b0\uad8c \ubcf4\ud638 \ucee8\uc124\ud305", "8152": "2013", "8153": "\ud55c\uc804\uae30\uc220", "8154": "\ud55c\uad6d\ud0c0\uc774\uc5b4", "8155": "\uae30\uacc4\uc0b0\uc5c5 \uace0\ubd80\uac00\uac00\uce58\ud654\ub85c \uae00\ub85c\ubc8c 5\ub300 \uae30\uacc4\uac15\uad6d \uae30\ubc18 \uc0b0\uc5c5\ub2e8\uc9c0", "8156": "\uc0b0\uc5c5\ubd80", "8157": "empty", "8158": "100\uc8fc\ub144 \uae30\ub150\uad00 \ub300\uac15\ub2f9", "8159": "30\uc778 \uc774\uc0c1", "8160": "\uc811\uc218\uc77c\ubd80\ud130 7\uc77c \uc774\ub0b4", "8161": "10\uba85\uc774\uc0c1", "8162": "\ubca4\ucc98\ud655\uc778 \ubc0f \uc774\ub178\ube44\uc988 \uc778\uc99d", "8163": "\uc7ac\uc81c\uc870 \uae30\uc220 \ubc0f \uc81c\ud488", "8164": "5\ucc9c\ub9cc\uc6d0\uc774\ub0b4/\uad11\uc5ed -\uc0ac\uc5c5\ub2f9 2\ucc9c~3\ucc9c\ub9cc\uc6d0", "8165": "3\ub144 \uc774\ub0b4", "8166": "\ud589\uc815\uccb4\uc81c \uac1c\ud601", "8167": "\ucd1d \uc804\uc2dc\ud68c \uac1c\ucd5c\ube44\uc6a9\uc758 1/2\ubc94\uc704\uc774\ub0b4", "8168": "\uc624\ud058\ub7ec\uc2a4 VR", "8169": "empty", "8170": "www.igf.co.kr", "8171": "\uc911\uc9c4\uacf5", "8172": "3\ucc9c\ub9cc\ubd88\uc774\uc0c1", "8173": "57\uac1c", "8174": "2\ucc28\uc804\uc9c0", "8175": "162,000\ud3c9", "8176": "2014\ub144", "8177": "\uc11c\uc6b8\ub300 *** \ud55c\ub77c\uc0b0\uc5c5\uac1c\ubc1c", "8178": "\ube44\uc6a9\uc808\uac10", "8179": "\uc2a4\ub9c8\ud2b8 \ubaa8\ubc14\uc77c \uc7a5\ube44", "8180": "IT\ub85c \uacbd\uc81c\ub97c \ub354 \ud798\uc788\uac8c", "8181": "\ud55c\uad6d\uad11\uae30\uc220\uc6d0", "8182": "\u321c\uc9c0\uc624\ub124\ud2b8", "8183": "1.5\uc5b5\ubd88", "8184": "R&D", "8185": "\ud568\ubd80\ub974\ud06c", "8186": "\uc804\uae30\uc804\uc790", "8187": "empty", "8188": "\uc9c0\uc6d0", "8189": "\uc778\ucc9c\uad6d\uc81c\uacf5\ud56d\uacf5\uc0ac", "8190": "\ud611\ub825\uae30\uc5c5\uc758 FTA \ud65c\uc6a9\ud3ed \ubc0f \uc0c1\ud638\uac04 FTA \ud65c\uc6a9\uc815\ubcf4\uad50\ud658 \ud655\ub300", "8191": "\ub300\uae30\uc5c5\uacc4\uc5f4 \uc0ac\ubaa8\ud380\ub4dc\uc5d0 \ub300\ud55c \uc81c\ud55c \ud3d0\uc9c0", "8192": "10,096\uc5b5\uc6d0", "8193": "\ucde8\uc5c5\uad00\ub9ac\uc81c\ub3c4\uc5d0\uc11c \uace0\uc6a9\ud2b9\ub840\ub97c \ud5c8\uc6a9\ud558\ub294 \uc11c\ube44\uc2a4 \uc5c5\uc885 6\uac1c \uac00\uc6b4\ub370 \uad00\uad11\uc5c5 \ud3ec\ud568", "8194": "\ud604\uc9c0\uc778\uc99d \ud68d\ub4dd\uc744 \uc9c4\ud589 \uc911\uc778 \uad6d\ub0b4 \uc0b0\ub2e8 \u5167\uc758 \uc911\uc18c\uae30\uc5c5", "8195": "\uce7c\ub77c \uad50\uc721 \ub0b4\uc6a9 \ubd84\uc11d \ubc0f \uce7c\ub77c \ub370\uc774\ud130 \uad6c\ucd95", "8196": "\uace0\ub3c4\uae30\uc220\ud615", "8197": "LED\uc13c\uc11c\ub4f1", "8198": "\uc81c\uc870\ud604\uc7a5 \uac1c\uc120", "8199": "\u65e5\uc218\ucd9c\uaddc\uc81c \uad00\ub828 \ud22c\uc790\uc5d0 \ud55c\uc815\ud558\uc5ec \ud55c\uc2dc \uc9c0\uc6d0\ubc29\uc548 \uac15\uad6c", "8200": "\uc778\ucc9c \uc2dc\ub0b4 \ub4f1 4\uac1c\uc18c", "8201": "\ucc29\uc6a9\ud615", "8202": "LG\uc804\uc790", "8203": "\uc8fc\uac70", "8204": "\uc8fc\ud0dd", "8205": "\uc12c\uc720\uc0b0\uc5c5 \uad6c\uc870\ud601\uc2e0 \uc9c0\uc6d0", "8206": "\uc778\uc99d\ud5c8\uac00\uad00\ub828 \uad6d\uc81c\ud611\ub825 \ubc0f \uad50\ub958", "8207": "PB\u318d\uc785\uc810 \uc81c\ud488 \ub4f1 \uc804\uc2dc\u318d\ud310\ub9e4", "8208": "219\uac1c", "8209": "Iot \uc13c\uc11c\ub958 \uc77c\uad04 \uc2dc\uc0dd\uc0b0 \uacf5\uc815", "8210": "\ub098\ub178\uc18c\uc790", "8211": "\ub300\uc6b0\uc790\ub3d9\ucc28(\uc8fc)", "8212": "empty", "8213": "0.33 kW", "8214": "(\uc8fc)\uc0bc\uc2e0\uc815\uacf5", "8215": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0", "8216": "\uc11c\uc6b8 COEX 3\uce35 \uc624\ub514\ud1a0\ub9ac\uc6c0", "8217": "520\uba85", "8218": "VOD \uc11c\ube44\uc2a4", "8219": "empty", "8220": "\u321c\ub85c\ubd07\uc5d0\ubc84", "8221": "\uc0b0\uc5c5\uacbd\uc7c1\ub825 \uac15\ud654\uc9c0\uc6d0", "8222": "(\uc8fc)\uc5d0\uc2a4\uc5d0\ub108\uc9c0", "8223": "30%", "8224": "empty", "8225": "\uac74\uac15\uc548\uc804\uc704\uc6d0\ud68c", "8226": "548\ub9cckW", "8227": "3\ubc31\ub9cc\uc6d0", "8228": "\u25aa\ub514\uc790\uc778 \ud65c\uc6a9 \ubcf4\ud2b8 \uc81c\uc791", "8229": "empty", "8230": "\ucef4\ud4e8\ud130\uacf5\ud559\uacfc", "8231": "\ub364\ud551\ubc29\uc9c0 \uad00\uc138 \ubd80\uacfc", "8232": "90\uac1c\uc0ac", "8233": "\ub300\ud559", "8234": "7\uc6d4 \uc911", "8235": "\uad6d\ubc29\ubd80 \uad00\ub828 \ubd80\ub300 \ubcf5\ubb34 \uc5f0\uacc4", "8236": "\ud574\uc678\ub9c8\ucf00\ud305 \uc9c0\uc6d0", "8237": "500\ub9cc\uc6d0", "8238": "\uc911\uae30\uccad", "8239": "empty", "8240": "\ub178\ub3d9 \ud22c\uc785", "8241": "empty", "8242": "\ub300\ud559", "8243": "\uc720\uad00\ub2e8\uccb4", "8244": "\uc548\uc804\uc0ac\uace0 \uc608\ubc29 \ub300\ucc45", "8245": "RCEP \uc7a5\uad00\ud68c\uc758", "8246": "25,175.1\uc5b5\uc6d0", "8247": "\uc218\uc720\uc2dc\uc7a5", "8248": "23.6%", "8249": "1970. 1. 1", "8250": "\uc775\uc0b0 \uadc0\uae08\uc18d \ud611\ub3d9\uc870\ud569(\uc775\uc0b0)", "8251": "\ud55c\uad6d\ub098\ub178\uae30\uc220\uc6d0", "8252": "\ub514\uc824\uc5d4\uc9c4 \uae30\uc220", "8253": "empty", "8254": "3\uba85", "8255": "\uc624\uc2a4\ud2b8\ub9ac\uc544", "8256": "\uc170\uc77c\uac00\uc2a4", "8257": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8258": "\ucfe0\ud3f0\uc218\uac70\ud568 \uc124\uce58 \uad00\ub9ac", "8259": "3.2", "8260": "\ubc15\uc6d0\uc21c \ub300\ud55c\ubbfc\uad6d\uc2dc\ub3c4\uc9c0\uc0ac\ud611\uc758\ud68c\uc7a5", "8261": "9.6", "8262": "\ub098\ub178\uc0b0\uc5c5\uae30\uc220\uc5f0\uad6c\uc870\ud569", "8263": "\uc544\uc774\uc62c\ub9ac", "8264": "\uc11c\uc6b8\ub300\ud559\uad50 \uad50\uc218", "8265": "\uc11c\ub860", "8266": "\ubbf8\uad6d, \uce90\ub098\ub2e4, \ub3c5\uc77c, \ud504\ub791\uc2a4, \uc601\uad6d, \uc2a4\ud398\uc778, \uc774\ud0c8\ub9ac\uc544, \uc77c\ubcf8", "8267": "\uc911\uc7ac\uc81c\ub3c4\uc758 \uae30\ubcf8\ub0b4\uc6a9\uacfc \uc911\uc7ac\ud611\uc758\uc758 \ud6a8\ub825", "8268": "6\uc5b53,663\ub9cc\uba85", "8269": "\uc790\uc5f0\uc5d0\uc11c \ucc3e\uc740 \ub514\uc790\uc778\uc758 \uc6d0\ub9ac\uc640 \ub2e4\uc591\ud55c \uc751\uc6a9\uc0ac\ub840\ub97c \ubaa8\ud615\uc744 \ud1b5\ud574 \uc18c\uac1c", "8270": "\uc2dc\uc815\uad8c\uace0", "8271": "\uae08\uc735\ud22c\uc790\uad00", "8272": "\uae30\uacc4\u00b7\ub85c\ubd07", "8273": "\ud0dc\uc591\uad11", "8274": "\ubb34\uc5ed\ud611\ud68c", "8275": "\uc694\uae08\uc81c \uac1c\uc120", "8276": "16\uac1c", "8277": "1\ucc28 \uc811\uc218", "8278": "\uc6b0\uc11d\ub300", "8279": "U-\ube44\uc988\ub2c8\uc2a4", "8280": "\ubc31\ucda9\uc5f4", "8281": "\uc0b0\uc5c5\uc778\ub825 \uc721\uc131 \ud5c8\ube0c", "8282": "\ub300\ud559, \uc5f0\uad6c\uc18c, \uae30\uc5c5 \ub4f1", "8283": "\uc218\uc694\uc790\uc6d0 \uac70\ub798\uc2dc\uc7a5", "8284": "\ub18d\uc2dd\ud488\ubd80", "8285": "18\uac1c", "8286": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "8287": "3. 9(\ud654) ~ 4. 16(\uae08)", "8288": "Immersive Virtual-Training \ud50c\ub7ab\ud3fc", "8289": "\uc790\ub3d9\ucc28\uc6a9 LED\uc804\uc870\ub4f1 \uac1c\ubc1c", "8290": "\uc5d0\uad00\uacf5 \ub300\uac15\ub2f9", "8291": "500\uba85", "8292": "empty", "8293": "\ud558\ud68c\ud0c8 \ubbf8\ub2c8 \ucef4\ud3ec\ub10c\ud2b8", "8294": "\ubc29\ud5a5\uc81c", "8295": "\ub18d\uc218\uc0b0\ubb3c \uc0dd\uc0b0\u02d9\uad00\ub9ac \ubd84\uc57c", "8296": "empty", "8297": "\ubc29\ucda9\uc81c", "8298": "\uc73c\ub2a5\uc815\uc774\uac70\ub9ac", "8299": "www.signgate.com", "8300": "\ud2b9\uc815 \uc218\ucd9c\uacc4\uc57d \ub610\ub294 \ud2b9\uc815\uc790\uae08\uacfc \uad00\ub828\ud558\uc5ec \uc2e0\uc6a9\uc7a5\uc744 \uadfc\uac70\ub85c 1\ud68c\uc5d0 \ud55c\ud574 \uc6b4\uc6a9", "8301": "\ud55c\ub958\ubb38\ud654 \uccb4\ud5d8 \ud589\uc0ac", "8302": "20\uc5b5\uc6d0", "8303": "\uc774\ucc28\uc804\uc9c0", "8304": "\uac00\uc2a4\uc548\uc804\uad00\ub9ac\uc2dc\uc2a4\ud15c \uad6c\ucd95\uc9c0\uc6d0", "8305": "07:30~08:30", "8306": "empty", "8307": "empty", "8308": "6\uac1c\uc0ac", "8309": "\ub3d9\uba85\uc815\ubcf4\ub300\ud559\uad50 \uac74\ucd95\uacf5\ud559\uacfc Con-e\ud300", "8310": "empty", "8311": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\ub300 \ucee8\uc18c\uc2dc\uc5c4", "8312": "20%", "8313": "empty", "8314": "\ud604\uc7a5\ub300\uae30 \ud504\ub85c\uc81d\ud2b8 \uc9c0\uc6d0", "8315": "empty", "8316": "\uc6d0\uc804 2\uae30 \uc608\ube44\ud0c0\ub2f9\uc131\uc870\uc0ac \uc9c4\ud589\uc911", "8317": "6%", "8318": "\uc774\uaddc\ud0dd", "8319": "\ud3ec\uc2a4\ucf54", "8320": "\ud1f4\uc9c1\ubb34\uc5ed\uc778\ub825", "8321": "51.27\uc5b5 165\uba85", "8322": "empty", "8323": "\uc9c0\uc6d0\uc778\ub825 \ud45c\uc900\uae09\uc5ec \uae30\uc900 50%\uc9c0\uc6d0", "8324": "e-\ube44\uc988\ub2c8\uc2a4 \uc9c4\ud765\uc758\uc9c0 \ucc9c\uba85", "8325": "\ubbf8\uad6d", "8326": "\ub2e8\uc5f4 \ube44\ub2d0 \ubc0f \ubb38\ud48d\uc9c0 \ubd99\uc774\uae30", "8327": "\uc911\uc18c\ud615 \uac00\uc804", "8328": "\uc790\uc6d0\uac1c\ubc1c\uc0ac\uc5c5", "8329": "empty", "8330": "333\uac74", "8331": "\uc804\uae30\uc548\uc804\uacf5\uc0ac", "8332": "93%", "8333": "\uc0bc\uc131\uc804\uc790(\uc8fc)", "8334": "\uae30\uc5c5\ud65c\ub825\ubc95", "8335": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 100% \uc774\ud558", "8336": "5.19%", "8337": "(\uc8fc)\uc544\ubaa8\ub808\ud37c\uc2dc\ud53d", "8338": "\ub9c8\ucfe0\ud558\ub9ac", "8339": "empty", "8340": "empty", "8341": "SK\ucf00\ubbf8\uce7c", "8342": "\uc11c\uc6b8\ub300\ud559\uad50", "8343": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80\uc7a5\uad00", "8344": "\uc678\uad6d\uc778", "8345": "\uad6d\ub9bd\ucd95\uc0b0\uacfc\ud559\uc6d0", "8346": "SK E&S", "8347": "empty", "8348": "\ud55c\uad6d\ubb34\uc5ed\ud611\ud68c \ud68c\uc7a5\uc0c1", "8349": "\ub974\ub178\ub2db\uc0b0, \uc911\uad6d \ubc0f \uc778\ub3c4 \uc5c5\uacc4", "8350": "(\uc8fc)\uc6b0\uc131\ub274\ub9e4\ud2f1", "8351": "\uc9c0\uc7ac\uad8c(\uc0c1\ud45c, \ud2b9\ud5c8 \ub4f1) \ubcf4\ud638 \ubc0f \ud53c\ud574 \uc608\ubc29 \uc9c0\uc6d0", "8352": "3\ub144\uc774\ud558 \uc9d5\uc5ed \ub610\ub294 3,000\ub9cc\uc6d0 \uc774\ud558\uc758 \ubc8c\uae08", "8353": "2\ubd80", "8354": "empty", "8355": "\ud734\ub300\ud3f0 \uae30\uc220", "8356": "170\ub9cc\uba85 (-) 258\ub9cc\uba85", "8357": "empty", "8358": "\uace0\uc704\ud5d8\ud615", "8359": "135\uba85", "8360": "3\uac1c", "8361": "\uc2e4\ud589\ub2e8\uacc4", "8362": "empty", "8363": "X&D", "8364": "\uc778\ub3c4", "8365": "\uc12c\uc720\uc81c\ud488", "8366": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "8367": "empty", "8368": "\ubcf4\uc77c\ub7ec", "8369": "\uc804\uc790\ubb34\uc5ed \uc804\ubb38\uac00 \uacfc\uc815", "8370": "empty", "8371": "3\ub144", "8372": "22", "8373": "\ub178\ub3d9\ub825", "8374": "\ud638\uc11c\ub300", "8375": "\uc9c0\uc7ac\uad8c \uacbd\uc7c1\ub825", "8376": "\uc758\ub8cc\uae30\uae30\uc735\ubcf5\ud569", "8377": "(\uc8fc)\uc81c\uc774\uc6d4\ub4dc\ud14d", "8378": "1/2\uc774\ub0b4", "8379": "7\uac1c", "8380": "\uc778\uad6c\ubd84\ud3ec \ubc0f \ud1a0\uc9c0 \uc774\uc6a9\ub3c4", "8381": "\uad11\uc8fc\uad11\uc5ed\uc2dc", "8382": "\uc11c\ub958\uc2ec\uc0ac", "8383": "\ud55c\uad6d\ub0a8\ubd80\ubc1c\uc804(\uc8fc)", "8384": "5\uc870\uc6d0", "8385": "\ub300\ud1b5\ub839\ud45c\ucc3d", "8386": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "8387": "\ucc9c\uc548\uc0c1\ub85d\ud638\ud154 2\uce35\uc0c1\ub85d\ud640", "8388": "2010\ub144", "8389": "\uc170\uc5b4\ub9c1 \uac1c\ub9c9\uc2dd", "8390": "empty", "8391": "empty", "8392": "\uc804\uc790\uc758\ub8cc\uae30\uae30\uc0b0\uc5c5", "8393": "\ud604\ub300\uc544\ud2b8\ubaa8\uc544(\uc870\ub825)", "8394": "\ucc38\uad00\uac1d \ub4f1\ub85d\uc815\ubcf4 \uc2dc\uc2a4\ud15c \uc124\uce58, \ubb34\uc5ed\uc804\uc2dc\ud68c \uc778\uc99d \uc2ec\uc0ac\ube44", "8395": "\uc218\uc18c\ucc28", "8396": "\ubc18\ub3c4\uccb4\ud380\ub4dc", "8397": "\uc911\uc559\uc9d1\uc911\uc2dd \uc790\ub3d9\uc0b0\uc18c\uacf5\uae09\uc7a5\uce58", "8398": "10", "8399": "\uc804\uc790\ucd9c\ud310", "8400": "empty", "8401": "empty", "8402": "\uc218\ub3d9\ud720\uccb4\uc5b4 \uc804\ub3d9\ubcf4\uc870\ud0a4\ud2b8", "8403": "\ubc14\uc774\uc624\ud5ec\uc2a4", "8404": "2009.11.8\uff5e2009.12.10", "8405": "empty", "8406": "\uc57d 7.2\uac1c\uc6d4(\uc2e0\uc18d\uc2ec\ud310\uacb0\uc815\uc77c \uae30\uc900) \u2192 \ud3c9\uade0 100\uc77c", "8407": "\ud55c\uad6d\uc790\ub3d9\ucc28\uacf5\uc5c5\ud611\ub3d9\uc870\ud569", "8408": "\ubd80\uc0b0\ub0a8\ubd80\uacbd\ucc30\uc11c", "8409": "\u25aa\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "8410": "\uc778\ucc9c", "8411": "\ub9d0\ub808\uc774\uc2dc\uc544", "8412": "\uc804\uc8fc\uc644\uc0b0\uacbd\ucc30\uc11c", "8413": "\uc804\uccb4, \uacfc\uc81c\ub2f4\ub2f9\uc790 \uc2e4\uba85\uc744 \ud3ec\ud568\ud55c \uad00\ub9ac\uce74\ub4dc", "8414": "\uc5d0\ub108\uc9c0\uc0ac\uc6a9\uc758 \uc801\uc815\uc218\uc900 \uc720\uc9c0", "8415": "\uc5f0\ube44 17km/\u2113 \uc774\uc0c1 \ub610\ub294 \uc774\uc0b0\ud654\ud0c4\uc18c\ubc30\ucd9c\ub7c9 140g/km \uc774\ud558", "8416": "\uc624\ud1a0-\ud31d \uc2dc\uc2a4\ud15c", "8417": "\ud55c\uc804\uc804\ub825\uacf5\uc0ac", "8418": "\ud5ec\uc2a4\ucee4\ub125\ud2b8", "8419": "\uc218\ud589\uae30\uad00 \ud604\ud669, 4. \uc0ac\uc5c5\ud654 \uacc4\ud68d, 5. \uc0ac\uc5c5\ube44", "8420": "empty", "8421": "\uc11d\uc720\ud654\ud559 \ubcf5\ud569\ub2e8\uc9c0", "8422": "\ubcf4\uc77c\ub7ec\uc6a9 \uc18c\uc7ac", "8423": "empty", "8424": "\uc2e4\uc2dc\uac04 DPS \uc7a5\ube44 \uc131\ub2a5 \ubaa8\ub2c8\ud130\ub9c1 \ubc0f \uc774\uc0c1\uc0c1\ud0dc \uc870\uae30 \uc54c\ub78c \uc2dc\uc2a4\ud15c \uad6c\ub3d9 \ubc0f \uc2dc\uc5f0", "8425": "1\uc5b5\uc6d0", "8426": "FDI \ucd09\uc9c4\uc744 \uc704\ud55c \uc778\uc13c\ud2f0\ube0c \uc81c\uacf5", "8427": "empty", "8428": "\ubb34\uc778\ud56d\uacf5\uae30 \ud0d1\uc7ac\uc6a9 \uc804\uc6d0\uc81c\uc5b4 SW \ubc0f \uc810\uac80\uc7a5\ube44 SW \uac1c\ubc1c", "8429": "\ud55c\uad6d\uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "8430": "\uc758\ub8cc\ubc95", "8431": "EU, \ubbf8\uad6d, \uc911\uad6d, \uc77c\ubcf8 \uc774\uc678\uc758 \uc9c0\uc5ed \uc804\uc2dc\ud68c \ucc38\uac00", "8432": "\uc911\uc18c\uae30\uc5c5 \uc9c4\ud765\uc5d0 \uad00\ud55c \ubc95\ub960", "8433": "empty", "8434": "\ub2f4\uc591\uad70\uccad", "8435": "10", "8436": "\uc77c\uc790\ub9ac", "8437": "\ubcf4\uac74\ubcf5\uc9c0\ubd80", "8438": "\uae30\ud68c", "8439": "empty", "8440": "\uc874\uc2a8&\uc874\uc2a8", "8441": "\uc544\uc2dc\uc544\uad8c \uc804\ubb38 \uad6d\uc81c\ubcc0\ud638\uc0ac \ud574\uc678 \uc9c4\ucd9c \ubc95\ub960\uc790\ubb38", "8442": "\ubbf8\uad6d", "8443": "\uc804\uc2dc\uc7a5\ub0b4", "8444": "empty", "8445": "8\ud488\ubaa9", "8446": "\ub354\ubd88\uc5b4 \uc798\uc0ac\ub294 \uade0\ud615\uad6d\ud1a0", "8447": "\ub3c4\ub85c\uad50\ud1b5\uacf5\ub2e8 \uc2dc\u00b7\ub3c4\uc9c0\ubd80", "8448": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80\uc7a5\uad00", "8449": "4\uc2dc\uac04", "8450": "5\ub144\ub9c8\ub2e4", "8451": "Pertamina", "8452": "80\uc5b5\uc6d0", "8453": "KOREA \ud64d\ubcf4\uad00", "8454": "452\uc5b5\uc6d0", "8455": "\uc804\uc790\uc815\ubcf4\ub514\ubc14\uc774\uc2a4", "8456": "850\uac74", "8457": "5,219\ucc9c\uba85", "8458": "\ubaa9\ud45c\uad00\ub9ac \uccb4\uacc4 \ub3c4\uc785, \ud604\uc7a5 \uc774\uc0c1\uad00\ub9ac \uccb4\uacc4 \uad6c\ucd95 \ub4f1", "8459": "empty", "8460": "\uc9d1\ub2e8 \uc5d0\ub108\uc9c0\uc6a9", "8461": "39,925\uc6d0", "8462": "\uc0b0\ud559\uc5f0\uacc4\ud615 \uacfc\uc815", "8463": "JW\uba54\ub9ac\uc5b4\ud2b8", "8464": "empty", "8465": "\ud55c\uc218\uc6d0", "8466": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765\uc6d0", "8467": "\ubbf8\uad6d", "8468": "empty", "8469": "\uc7ac\ub798\uc2dd\ubb34\uae30", "8470": "empty", "8471": "2021\ub144 1\ubd84\uae30", "8472": "\uc2a4\ub9c8\ud2b8\uc81c\uc870 \uc9c0\uc6d0\uc744 \uc704\ud55c \uc81c\ud488 \ub370\uc774\ud130 \ubc0f \ud488\uc9c8 \uc815\ub7c9\ud654 \ud45c\uc900 \uac1c\ubc1c\uacfc \uad6d\uc81c \ud45c\uc900 \ub4f1\ub85d", "8473": "\ud575\uc2ec \uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4 \uac1c\ubc1c \ubc0f \uad6d\uc0b0\ud654", "8474": "empty", "8475": "2\uc810", "8476": "empty", "8477": "IP \ud65c\uc6a9", "8478": "Urban Mobility Integration \uad6d\uc81c\ud45c\uc900 \uac1c\ubc1c", "8479": "54,151\ud300", "8480": "\uc6b0\ub9ac\ubd80 \ub300\ud68c\uc758\uc2e4", "8481": "150\uac1c\uc0ac", "8482": "\ud55c\u00b7\ub274\uc9c8\ub79c\ub4dc \ud55c\u00b7\ubbf8", "8483": "\ud1b5\uc2e0", "8484": "empty", "8485": "empty", "8486": "\uc911\uc18c\uae30\uc5c5\uccad", "8487": "empty", "8488": "R&BD\uc804\uc6a9\ud380\ub4dc", "8489": "\ud55c\uad6d", "8490": "\uc81c\ucd9c\uc11c\ub958 \uac80\ud1a0\ub97c \ud1b5\ud55c \uc790\uaca9\uc5ec\ubd80 \uc2ec\uc0ac", "8491": "empty", "8492": "\uc218\ucd9c\ubcf4\ud5d8 \ubc0f \uc218\ucd9c\ubcf4\uc99d", "8493": "\ud3ec\uc2a4\ucf54", "8494": "\uc218\ucd9c\uc0c1\ub2f4", "8495": "empty", "8496": "\uc608\uc815\uc9c0\uc5ed", "8497": "empty", "8498": "36\ud559\uc810", "8499": "\uc601\uad6d \uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0\ud3ec\ub7fc", "8500": "3\uc5c5\uccb4", "8501": "\uc77c\uc790\ub9ac \ucc3d\ucd9c\uacfc \uc9c0\uc2dd\uc774\uc804\uc744 \uc704\ud55c \uacfc\uc81c", "8502": "\uc778\ud130 \ubc14\uc774\uc624", "8503": "empty", "8504": "\ucf54\ub514\uc5d0\uc2a4", "8505": "\uc804\uae30\uc694\uae08 \ubcf4\uc804\uc561", "8506": "empty", "8507": "\uc6a9\ub7c9\uc774 30t/h\ub97c \ucd08\uacfc\ud558\ub294 \ubcf4\uc77c\ub7ec", "8508": "\uad11\uc8fc\uad6d\uc81c\uc2e4\ubc84\ubc15\ub78c\ud68c", "8509": "\ud22c\uc790\uc815\ucc45\uacfc\uc7a5", "8510": "\uc790\ub3d9\ucc28", "8511": "\u25aa\uacf5\uc7a5\uc2dc\uc124", "8512": "\ub85c\ubd07\uc11c\ubc14\uc774\ubc8c", "8513": "empty", "8514": "\ub300\uad6c", "8515": "empty", "8516": "(\uc8fc)\ud050\ube45\ud14c\ud06c", "8517": "\uc6e8\uc5b4\ub7ec\ube14 \ub514\ubc14\uc774\uc2a4", "8518": "\uc218\ucd9c\ud615", "8519": "\uc911\uad6d\uc758 \uc5d0\ub108\uc9c0\ud655\ubcf4 \uc804\ub7b5 - \ud574\uc678 \uc5d0\ub108\uc9c0 \uad00\ub828\uc0ac \uc778\uc218 \ub4f1", "8520": "\uc804\uc720\uae30 \ub514\uc2a4\ud50c\ub808\uc774 \uae30\uc220\uac1c\ubc1c", "8521": "\uc2dc\uc560\ud2c0", "8522": "\ube5b\uac00\ub78c\ub3d9 \uc77c\uc6d0", "8523": "25ha \ubbf8\ub9cc", "8524": "120\uba85", "8525": "empty", "8526": "empty", "8527": "\ubbfc\uac04\uad50\uc721\uc13c\ud130 \ud65c\uc6a9", "8528": "92\uc5b5\uc6d0", "8529": "40.1", "8530": "344\uc5b5\uc6d0", "8531": "empty", "8532": "44,966\uc6d0", "8533": "\uc5f0\uad6c \uc9c1\uc811 \uc218\ud589", "8534": "\uae30\uc5c5\uc5d0 \uc2e0\uc6a9\ubcf4\uc99d \uc9c0\uc6d0", "8535": "\ud2c8\uc0c8\uc2dc\uc7a5 \uac1c\ucc99", "8536": "\ud2f0\ube44\uc2a4\ud1b0", "8537": "empty", "8538": "empty", "8539": "\uc0ac\uc5c5 \ub2e4\uac01\ud654 \uc2e0\uc18d \uc9c0\uc6d0", "8540": "empty", "8541": "\ubbfc\uad70 \uc5f0\uacc4\ud615 \ud575\uc2ec \uad6d\ubc29\uc18c\uc7ac \ud655\ubcf4", "8542": "\uc6b8\uc0b0 \ub86f\ub370\ud638\ud154 \ucda9\ub0a8\ubd81\ubd80 \uc0c1\uacf5\ud68c\uc758\uc18c", "8543": "\ud55c\uad6d\uc804\uc790\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\ud68c", "8544": "\ub4dc\ub860\uae30\uc5c5 \ud574\uc678\uc9c4\ucd9c \uc0ac\ub840\uacf5\uc720 \uc6e8\ube44\ub098", "8545": "empty", "8546": "empty", "8547": "KIET \uad6d\uc81c\uae08\uc735\uc13c\ud130", "8548": "\uc644\uc131\ucc28\uc0ac\uc758 \ud55c\uad6d\ubd80\ud488 \uae00\ub85c\ubc8c \uacf5\uae09\uc9c0\uc6d0\uc13c\ud130 \uc6b4\uc601", "8549": "\ubd80\ud488\uc2e0\ub8b0\uc131 \ud14c\uc2a4\ud2b8 \uc9c0\uc6d0", "8550": "\uae00\ub85c\ubc8c \ubd80\ud488\uacf5\uae09 \uc9c0\uc6d0\uccb4\uacc4 \uad6c\ucd95 \u25aa\uc6d0\uc2a4\ud1b1 \uae00\ub85c\ubc8c \ubd80\ud488\uacf5\uae09 \uc9c0\uc6d0\ub2e8 \ubc1c\uc871 \u25aa\ud604\uc7a5 \uae30\uc220\uc9c0\uc6d0", "8551": "\ub300\ucd94\u00b7\ud55c\uc6b0\ud2b9\uad6c", "8552": "\ub18d\uc5b4\ucd0c \ubcf5\ud569\uc0b0\uc5c5\ud654", "8553": "\ud55c\uad6d\uc5d0\ub108\uc9c0\uae30\uc220\ud3c9\uac00\uc6d0", "8554": "\ub3d9\uc2e0\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "8555": "empty", "8556": "2010.7.1 ~ 2013.2.28", "8557": "\ud5a5\ud1a0\uc0b0\uc5c5\uc721\uc131\uc0ac\uc5c5", "8558": "\uae30\uc7ac\ubd80", "8559": "40\uac1c\uc0ac", "8560": "\uc0b0\uc5c5\ubd80", "8561": "IMID Business Forum", "8562": "\ud504\ub9ac\ub4dc\ub9ac\ud788 \uc54c\ub809\uc0b0\ub354\ub300\ud559\uad50", "8563": "134,486\uc6d0", "8564": "20\uac1c", "8565": "\ub514\uc2a4\ud50c\ub808\uc774", "8566": "empty", "8567": "\uace0\ubd80\uac00\uac00\uce58 \uc120\ubc15", "8568": "\uc5d0\ubc84", "8569": "\ub179\uc0c9\uc0dd\ud65c\uccb4\ud5d8\uad00", "8570": "\ubcf5\ud569\uae08\ud615\uc6a9 CLS \uc7a0\uae08\uc7a5\uce58 \uac1c\ubc1c", "8571": "\uc0b0\uc5c5\ub2e8\uc9c0 \ud601\uc2e0\uc5ed\ub7c9 \uac15\ud654\ubc29\uc548 \ubc0f \uc9c0\uc6d0\uacfc\uc81c \ubaa8\uc0c9 \ub4f1 \ucd94\uc9c4", "8572": "\ub364\ud551\ubc29\uc9c0 \uad00\uc138 \ubd80\uacfc", "8573": "\uc740\ub3c4\uae08\ubcc0\uc0c9\ubc29\uc9c0\uc124\ube44\uc2dc\uc81c\ud488", "8574": "empty", "8575": "60\uac1c\uc0ac", "8576": "empty", "8577": "151\uc5b5\uc6d0 \ubbfc\uac04: 379\uc5b5\uc6d0", "8578": "14\uac74", "8579": "\uc11c\uc6b8\ub300", "8580": "\ub3d9\uc11c\uc6b8\ub300", "8581": "2016.8.11.(\ubaa9) \ubd80\ud130", "8582": "2\uac1c", "8583": "\uc815\uc720\uc5c5\uacc4 \ud0c4\uc18c\uc911\ub9bd \uae30\uc220\uac1c\ubc1c \ub85c\ub4dc\ub9f5 \ucd94\uc9c4\ubc29\ud5a5 \ubaa8\uc0c9", "8584": "empty", "8585": "empty", "8586": "\ucda9\ub0a8\ub300", "8587": "empty", "8588": "\ucd95 \uc0ac", "8589": "\ud0c4\uc18c \uc790\uc0b0\uad00\ub9ac\ub85c\ub4dc\ub9f5", "8590": "105\uba85", "8591": "empty", "8592": "\uc790\ub3d9\ucc28 Al Wheel", "8593": "\uc548\uc0b0\ub3c4\uc2dc\uac1c\ubc1c", "8594": "\ud604\ub300, \uae30\uc544, \ub974\ub178\uc0bc\uc131, \ud55c\uad6d\uc9c0\uc5e0, \uc30d\uc6a9", "8595": "\uae00\ub85c\ubc8c\uc804\ubb38\uae30\uc5c5\uc721\uc131\uc0ac\uc5c5", "8596": "\ucf54\ub4dc\ubd84\ud560\ubc29\uc2dd", "8597": "empty", "8598": "\ud2b9\ud5c8\uce68\ud574\ubd84\uc11d, \ubb34\ud6a8\ud56d\ubcc0\uc758 \uac80\ud1a0\ub4f1\uc744 \uc81c\uc2dc", "8599": "empty", "8600": "empty", "8601": "\uc704\ud0c1\uc81c\uc870", "8602": "\uad6d\ubbfc\uc2e0\ubb38\uace0, 1381 \ud45c\uc900\uc778\uc99d \ucf5c\uc13c\ud130 \ud648\ud398\uc774\uc9c0 \uc811\uc18d", "8603": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "8604": "(\uc7ac)\uc77c\ubcf8\uc81c\uc57d\ub2e8\uccb4\uc5f0\ud569\ud68c", "8605": "empty", "8606": "\uc2dc\uc7a5\ucc3d\ucd9c", "8607": "50\ub144", "8608": "\uae30\uc5c5\ub2f9 \ucd5c\ub300 4,000\ub9cc\uc6d0", "8609": "\ud3c9\ud310 \ub514\uc2a4\ud50c\ub808\uc774", "8610": "\uae30\ud0c0 \uae30\ubc18\uc870\uc131", "8611": "empty", "8612": "\uc81c\ub3c4\uac1c\uc120", "8613": "\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1, \ub514\uc790\uc778\uc5c5", "8614": "empty", "8615": "17:40", "8616": "\uce5c\ud658\uacbd\ucc28 \uc548\uc804\uc131\ud3c9\uac00 \ubc0f \uc778\uc99d \uad00\ub828 \ubc95\ub839 \uac1c\uc815", "8617": "\ucd5c\uc885 \uaddc\uc815", "8618": "empty", "8619": "\u321c\ub098\ub178\ud558\uc774\ud14c\ud06c", "8620": "12,468\uba85", "8621": "\uc0c1\uc0dd\ud611\ub825 \ud504\ub85c\uadf8\ub7a8", "8622": "\ud55c\uad6d\ubc14\uc774\uc624\ud611\ud68c \ud68c\uc7a5", "8623": "12\ub9cc", "8624": "empty", "8625": "\u321c\ub124\uc624\ud2f0\uc2a4", "8626": "\uc774\ub9c8\ud2b8", "8627": "\uc2dc\ubc94\uc0ac\uc5c5 \uc138\ubd80\uacc4\ud68d\uc11c, \uc0ac\uc5c5\ucd94\uc9c4 \uc804 \uacfc\uc815", "8628": "\ud6c4\ubca0\uc774\uc7a5\uc1a1\uc218\ucd9c\uc785\uc720\ud55c\uacf5\uc0ac", "8629": "55", "8630": "\ub514\uc790\uc778", "8631": "\uc218\ucd9c\uc560\ub85c \ub4f1\uc73c\ub85c \uc2e4\uc801\uac1c\uc120 \uc9c0\uc5f0 \u2192 \uc0b0\uc5c5\uac04 \ud611\uc5c5 \ubc0f \uace0\uae09\uac15\uc7ac \uae30\uc220\uac1c\ubc1c \ub4f1", "8632": "8\uac1c\uad00", "8633": "2\ucc28\uc2ec\uc0ac", "8634": "\uc0b0\uc5c5\ud1b5\uc0c1 \uc790\uc6d0\ubd80 \uc7a5\uad00", "8635": "(\uc8fc)\ub2e4\ub798\uc6d4\ub4dc", "8636": "empty", "8637": "\uc778\ud130\ub137\uc11c\ube44\uc2a4", "8638": "\ub3cc\ube7c\uae30", "8639": "1/2 \uc774\ub0b4", "8640": "57.9\uc5b5\ubd88", "8641": "\ubc29\uc1a1\ud1b5\uc2e0 \uc735\ud569\uc11c\ube44\uc2a4 \ubcf8\uaca9 \uac1c\uc2dc \ubc0f \uc8fc\ud30c\uc218 \uc790\uc6d0\uc758 \ud6a8\uc728\uc801 \ud65c\uc6a9", "8642": "\ud55c\uad6d\ub514\uc790\uc778\uc9c4\ud765\uc6d0", "8643": "\uc9c0\uacbd\ubd80", "8644": "\uc81c12\uc870 \uc138\uad00 \ud611\ub825", "8645": "11\uac1c", "8646": "9\uac1c", "8647": "empty", "8648": "LG\ub514\uc2a4\ud50c\ub808\uc774", "8649": "\uacbd\uc7c1\ub825", "8650": "\ub0a8\ub3d9\uc778\ub354\uc2a4\ud30c\ud06c \uccad\uc815\uc9c0\uc2dd\uc0b0\uc5c5\uc13c\ud130", "8651": "30\ub098\ub178", "8652": "empty", "8653": "\uc740\ud589\uc7a5", "8654": "16\uac74", "8655": "\ub9c8\uc774\uc2a4\ud130\uace0", "8656": "2014\ub144 7\uc6d4", "8657": "\uc870\uc120/\uc790\ub3d9\ucc28/\uc6d0\uc790\ub825 \ub4f1", "8658": "empty", "8659": "56\uac1c", "8660": "\uba85\uc778\ud640", "8661": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \ubbfc\uac04\ubd80\ub2f4\uae08\uc758 20.0% \uc774\uc0c1", "8662": "empty", "8663": "B2B \uc120\uc9c4\uc0ac\ub840 \ubca4\uce58\ub9c8\ud0b9", "8664": "\uc804\uae30\u00b7\uc790\uc728\uc8fc\ud589\ucc28", "8665": "\uc18c\ube44\uc790\uacf5\uc775\ub124\ud2b8\uc6cc\ud06c", "8666": "\uc790\ub3d9\ucc28\ubd80\ud488 5.1%", "8667": "empty", "8668": "\ud0dc\uc591\uad11", "8669": "5\uc810", "8670": "20.0%", "8671": "43,283\uc6d0", "8672": "\uc2dc\uc2a4\ud15c\ud615", "8673": "\ubbf8\uad6d", "8674": "\uc0b0\uc790\ubd80 \ubb34\uc5ed\uc9c4\ud765\uacfc", "8675": "\uace0\uac1d\uc9c0\ud5a5\uc801 \uc870\uc9c1\ubb38\ud654", "8676": "\ub300\uc804\ucee8\ubca4\uc158\uc13c\ud130", "8677": "empty", "8678": "empty", "8679": "2012.12.11 ~ 12.26", "8680": "\uacbd\uc81c\uc131", "8681": "104\uae30", "8682": "\uc544\ud504\ub9ac\uce74", "8683": "\uad00\uc138\uccad", "8684": "\uac00\uc804", "8685": "\uc0b0\uc5c5\ub2e8\uc9c0 \ucea0\ud37c\uc2a4", "8686": "\uc11c\uc6b8 \uad6c\ub85c", "8687": "\uc815\ubcf4\ud1b5\uc2e0", "8688": "\uae40\uc815\ub85d \uad6d\ud68c\uc758\uc6d0, \ud558\ud0dc\uacbd \uad6d\ud68c\uc758\uc6d0, \uac15\uc740\ud76c \uad6d\ud68c\uc758\uc6d0, \uc870\uba85\ucca0 \uad6d\ud68c\uc758\uc6d0 \ub4f1", "8689": "empty", "8690": "\ud130\uce58\ud68c\ub85c", "8691": "empty", "8692": "empty", "8693": "\uae08\uc0c1", "8694": "\uc6d0\uc591\uc5b4\uc5c5\uc790\uc5d0 \ub300\ud55c \uacbd\uc601\uc790\uae08 \uc9c0\uc6d0", "8695": "\ubcf5\ud569\uae08\ud615\uc6a9 CLS \uc7a0\uae08\uc7a5\uce58 \uac1c\ubc1c", "8696": "\ubbfc\uc218\uc6a9", "8697": "8\uc5b5\uc6d0", "8698": "\uc804\ub7b5\uc801 \ud575\uc2ec\uc18c\uc7ac \uae30\uc220\uac1c\ubc1c", "8699": "empty", "8700": "LG\uc0dd\ud65c\uac74\uac15", "8701": "2", "8702": "\uc232\uc18d\uc758 \uace4\ucda9 \ub180\uc774\ud130", "8703": "\uc720\ub2c8\uc18c\uc7ac \uae30\uc220 \ubc0f \uc81c\ud488", "8704": "\uc5d0\ub108\uc9c0 \uae30\uc220 \ud611\ub825 \uc591\ud574\uac01\uc11c", "8705": "empty", "8706": "\uc774\uc2e0\uc7ac", "8707": "\uc2e0\uc601\uc77c", "8708": "300 mg/kg \uc774\ud558", "8709": "3\uc6d4 10\uc77c", "8710": "\u321c\ub178\ubc14\uc778\ud130\ub0b4\uc1fc\ub110", "8711": "810\uac1c", "8712": "\u25aa\uc218\ucd9c\uc790\uae08\ub300\ucd9c \uc6b0\ub300 \uc9c0\uc6d0", "8713": "10\ub144", "8714": "\ub300\uc804\ucda9\ub0a8\uc9c0\ubc29\uc911\uc18c\uae30\uc5c5\uccad \ub300\uac15\ub2f9", "8715": "295\uc5b5\uc6d0", "8716": "\uc2a4\ub9c8\ud2b8 \uadf8\ub9ac\ub4dc", "8717": "\ud604\uc7a5\uc2ec\ud654\uad50\uc721 8\uc810", "8718": "\uc2e0\uc57d \uc120\ub3c4\ubb3c\uc9c8", "8719": "\uc57d 10\ub9cc\u33be\uc815\ub3c4", "8720": "93.5\ub9cc\uba85", "8721": "empty", "8722": "\ud50c\ub798\ud2f0\ub11821", "8723": "154\uac1c \uacf5\uacf5\uae30\uad00", "8724": "566\ucc9c\uba85", "8725": "\ub300\uad6c \ub3d9\uad6c", "8726": "\ub514\uc790\uc778\uae30\ubc18\uad6c\ucd95", "8727": "\ud558\uc774\ube0c\ub9ac\ub4dc PCS", "8728": "\uc774\uc2a4\ud33b \uc0b0\uc5c5\uc8fc\uc2dd\ud68c\uc0ac", "8729": "10\uac1c", "8730": "\uc11d\u00b7\ubc15\uc0ac \uacfc\uc815 \ub300\ud559\uc6d0\uc0dd", "8731": "\uc911\uac04\uc810\uac80", "8732": "3\ub144 \uc774\ub0b4", "8733": "GPS\ucc45\uac00\ubc29", "8734": "\uae30\uc5c5\uc8fc\uad00 (\ub300\uc911\uc18c\uae30\uc5c5 \ucee8\uc18c\uc2dc\uc5c4) \uc0b0\u00b7\ud559\u00b7\uc5f0", "8735": "\uc218\ucd9c\uc785\uc870\uc0ac\uacfc", "8736": "e\ub7ec\ub2dd\uc0b0\uc5c5\uad00", "8737": "\uc0dd\ud654\ud559\ubb34\uae30", "8738": "empty", "8739": "empty", "8740": "empty", "8741": "3\ub144", "8742": "40", "8743": "\ud55c\uad6d\uad6d\uc81c\uc5f0\uad6c\ubd84\uc11d\uc2e4\ud5d8\uae30\uae30\uc804\uc2dc\ud68c", "8744": "empty", "8745": "\ud06c\ub9bd \ubc0f \ub9c8\ubaa8 \ub370\uc774\ud130", "8746": "14\uc810", "8747": "\ud55c\uad6d\uc6d0\uc790\ub825\uc5f0\uad6c\uc6d0", "8748": "28.9%", "8749": "16:00", "8750": "\ud55c\uad6d\ucc9c\ubb38\uc5f0\uad6c\uc6d0", "8751": "\uc0c1\ud488\ubb34\uc5ed\uc704\uc6d0\ud68c", "8752": "\ubd81\ud56d\uacfc \uc5f0\uacc4\ubc29\uc548 \ubc0f \uc0ac\uc5c5\ucd94\uc9c4\ubc29\uc548 \ub9c8\ub828 o \uc0c1\u00b7\ud558\ubd80\uc2dc\uc124 \uc124\uacc4 \ubc0f \uacf5\uc0ac", "8753": "\uc2dc\uc815 \uad8c\uace0", "8754": "\u321c\ud558\uc774\ucf54\ub9ac\uc544", "8755": "\ud589\uc815\uc548\uc804\ubd80", "8756": "\uc9c0\uc5ed\uae30\uc5c5 \ubb38\uc81c\ud574\uacb0 \uacbd\uc9c4\ub300\ud68c", "8757": "empty", "8758": "\uc800\ud0c4\uc18c\uc0b0\uc5c5\uae30\uae30", "8759": "56.6%", "8760": "\uc138\ubbf8\uc131", "8761": "1,600\ub9cc\uc6d0", "8762": "empty", "8763": "223", "8764": "\ud55c\uad6d\uae30\uacc4\uc5f0\uad6c\uc6d0", "8765": "\ud55c\uad6d\uc804\uc790\uc815\ubcf4\uc0b0\uc5c5\ud1b5\uc2e0\uc9c4\ud765\ud68c KIAT", "8766": "\ud638\uc11c\ub300", "8767": "5\ud68c", "8768": "empty", "8769": "empty", "8770": "\uc911\uad6d", "8771": "empty", "8772": "empty", "8773": "\uc9c0\uacbd\ubd80", "8774": "5\ubc31\ub9cc\ubd88", "8775": "\ud06c\ub9ac\ub108\uc6a9 \uc12c\uc720\uc18c\uc7ac", "8776": "\ubbfc\uac04\ub9e4\uac01 \ucd94\uc9c4", "8777": "\ubbf8\ub798\ucc28 \ubc18\u00b7\ub514 IoT\uac00\uc804 \uc5d0\ub108\uc9c0\uc2e0\uc0b0\uc5c5 \ubc14\uc774\uc624\u00b7\ud5ec\uc2a4", "8778": "EXCO-Daegu", "8779": "empty", "8780": "empty", "8781": "\uc81c\ub2c8\ud5e4\ub098", "8782": "Green \ubcf4\uc99d\ube0c\ub79c\ub4dc \uc120\uc815 \uc6b0\ub300", "8783": "empty", "8784": "\ud615\ud0dc\uc548\uc815\uc131\uc774 \uc6b0\uc218\ud55c \uace0\uac10\uc131 \ucc9c\uc5f0\ubcf5\ud569\uc18c\uc7ac", "8785": "\ube44\uc790\uce74\ub4dc", "8786": "1~2\uac1c", "8787": "13.67%", "8788": "\ud50c\ub808\uc26c \uba54\ubaa8\ub9ac \ub514\uc2a4\ud06c", "8789": "\ub124\ud2b8\uc6cc\ud06c \uae30\ubc18\uc758 \ub85c\ubd07", "8790": "6,135\uba85", "8791": "219\uc5b5\uc6d0", "8792": "\uc624\ud504 \ub77c\uc778", "8793": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "8794": "empty", "8795": "empty", "8796": "\ubc18\ub3c4\uccb4", "8797": "empty", "8798": "ET", "8799": "1,346\ucc99", "8800": "\uc57d 6\ubc30", "8801": "5,170\uc5b5\uc6d0", "8802": "empty", "8803": "\ud45c\uc900\ud654\uad00\ub828 \uad50\uc721 \ubc0f \ud64d\ubcf4", "8804": "\uc2e0\ub77c\uba74\uc138\uc810", "8805": "500\uc5b5\uc6d0", "8806": "\uacf5\uc5c5\uc6a9\uc18c\uc7ac\uc6a9\uc811/\uc811\ud569\uae30\uc220\uac1c\ubc1c\ubc0f\uc9c0\uc6d0", "8807": "20\uc5b5\uc6d0 \ub0b4\uc678", "8808": "\ubb34\uc5ed\ud22c\uc790 \ud611\ub825 MOU \u2461 \uc548\uadf8\ub79c \uacbd\uc81c\ud2b9\uad6c \uc704\ud0c1\uc6b4\uc601 MOA", "8809": "28\ubd80\uc2a4", "8810": "\uc11d\uc720\uacf5\uc0ac", "8811": "\uc77c\ubcf8", "8812": "LED\uc751\uc6a9", "8813": "\ud55c\uad6d\ud1a0\uc9c0 \uc8fc\ud0dd\uacf5\uc0ac", "8814": "empty", "8815": "\ubc29\uc1a1\ud1b5\uc2e0 \uc735\ud569", "8816": "empty", "8817": "2.01", "8818": "empty", "8819": "\u7f8e \uc544\ub9c8\uc874", "8820": "empty", "8821": "3\ub144 \uc774\ub0b4", "8822": "\uc81c\uc8fc\uc6cc\ud130 \uae00\ub85c\ubc8c \ube0c\ub79c\ub4dc \uae30\ubc18\uad6c\ucd95", "8823": "\uc218\ub3c4\uad8c \ucda9\uccad\uad8c", "8824": "\uc778\ub3c4\ub124\uc2dc\uc544", "8825": "\uc81c\uc870\uc5c5\uccb4", "8826": "empty", "8827": "86\uac1c", "8828": "empty", "8829": "TV, \uc2a4\ud53c\ucee4, \ub0c9\uc7a5\uace0, \uc138\ud0c1\uae30, \uc5d0\uc5b4\ucee8, \uc870\uba85\uae30\uae30 \ub4f1", "8830": "\ud55c\uad6d\uc560\uc9c8\ub7f0\ud2b8\ud14c\ud06c\ub180\ub85c\uc9c0\uc2a4(\uc8fc)", "8831": "\ubd84\uacfc 1", "8832": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "8833": "95.2%", "8834": "\ucf54\uc5d1\uc2a4 B\ud640", "8835": "\uacfc\ud0dc\ub8cc", "8836": "\ubd88\uac00\ub2a5", "8837": "MOU", "8838": "400\u33a1", "8839": "1989\ub144", "8840": "\uc911\uc18c\uae30\uc5c5", "8841": "\uc911\uad6d\ud56d\uacf5\uc11d\uc720", "8842": "\uc2e0\uc18c\uc7ac \ubd80\ud488\uad00", "8843": "0.33 kW", "8844": "51\uc5b5 RMB", "8845": "\uae30\ud0c0", "8846": "\uc218\ub974\uae38 \uac00\uc2a4\uc804", "8847": "\uc9c1\uc7a5\uc778 \ubc34\ub4dc \ud398\uc2a4\ud2f0\ubc8c", "8848": "\uad6d\uc81c\uae30\uad6c \uc870\ub2ec \uc720\ub9dd\uae30\uc5c5 \uc120\uc815", "8849": "28,624\ubc31\ub9cc\uc6d0", "8850": "\ucf54\ud2b8\ub77c", "8851": "empty", "8852": "15%", "8853": "\uc548\uc804\uacf5\uae09\uacc4\uc57d \ubbf8\uccb4\uacb0", "8854": "\ub3d9\uad6d\ub300\ud559\uad50", "8855": "\uc9d1\ub2e8\uc5d0\ub108\uc9c0\uacf5\uae09\uae30\ubcf8\uacc4\ud68d", "8856": "28\ucc9c\uba85", "8857": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8858": "\uc81c1\ucc28", "8859": "4", "8860": "\ucc9c\uc5f0\uac00\uc2a4, \uc11d\ud0c4 \ub4f1\uc758 \uc11d\uc720 \ub300\uccb4\uc6d0\ub8cc \uac1c\ubc1c", "8861": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "8862": "2021\ub144 3\uc6d4 31\uc77c", "8863": "empty", "8864": "\uac00\uc804\uc81c\ud488 \uc5d0\ub108\uc9c0\ub77c\ubca8\ub9c1 \uaddc\uc81c", "8865": "\ud55c\u00b7\ubca0 FTA", "8866": "empty", "8867": "empty", "8868": "empty", "8869": "3\ub144", "8870": "\ud0dc\uc591\uad11 \uc124\ube44\ub97c \uac00\uc815\uc5d0 \ube4c\ub824\uc8fc\uace0, \uc904\uc5b4\ub4e0 \uc804\uae30\uc694\uae08 \ub4f1\uc744 \ud1b5\ud574 \uc218\uc775 \ucc3d\ucd9c", "8871": "11\uac1c", "8872": "empty", "8873": "3,000\uac1c", "8874": "\uc2dc\uba58\ud2b8", "8875": "\uc804\uae30\uc808\uc5f0\uc720", "8876": "\ucc3d\uc870\ud589\uc815\ub2f4\ub2f9\uad00", "8877": "\ucda9\uc8fc \ud76c\ud1a0\ub958", "8878": "\uacbd\ub9e4\ub85c \uacb0\uc815", "8879": "empty", "8880": "STX\uc5d4\uc9c4\uc8fc\uc2dd\ud68c\uc0ac", "8881": "empty", "8882": "\uad50\ud1b5\ubd80", "8883": "\uc9c0\uc5ed\uc548\ucc29 \ud504\ub85c\uadf8\ub7a8 \ubc0f \uc9c0\uc5ed\ubb38\uc81c\ud574\uacb0 \uacf5\ubaa8\uc804", "8884": "\ub0a8\ub3d9", "8885": "1\ucc28 \uc2dc\ucd94 \uc774\ud6c4 \uae08\ub144 \ud558\ubc18\uae30 2\ucc28 \uc2dc\ucd94 \ucd94\uc9c4", "8886": "\ub2e8\uc5f4 \ube44\ub2d0 \ubc0f \ubb38\ud48d\uc9c0 \ubd99\uc774\uae30", "8887": "empty", "8888": "\ubb38\uc790 \uc785\ub825\uae30\ud638\ud658\uc131 \ud655\ubcf4", "8889": "empty", "8890": "empty", "8891": "empty", "8892": "empty", "8893": "19.6", "8894": "\ubc18\ub3c4\uccb4", "8895": "empty", "8896": "empty", "8897": "\uc548\uc804\uc7a5\uce58", "8898": "\uc124\ube44 \uac1c\uc120 \uc601\uc5ed", "8899": "2,000\ub9cc\uc6d0", "8900": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "8901": "empty", "8902": "empty", "8903": "1\uc5b5 5\ucc9c\ub9cc\uc6d0 \uc774\ub0b4", "8904": "\ud604\ub300", "8905": "\ud154\ub808\uc635\ud2f1\uc2a4", "8906": "\ucd08\uae09\ud300", "8907": "\ubc18\ubd80\ud328", "8908": "empty", "8909": "\uc591\uc7ac\ub3d9 AT\uc13c\ud130", "8910": "2009\ub144", "8911": "\uc804\uae30\ucc28 \uc720\uc800 \ud3ec\ub7fc", "8912": "3. 15 \uff5e3. 17", "8913": "13\ub144", "8914": "500\uc5b5\uc6d0", "8915": "mmWave", "8916": "\ud55c\uad6d\uc804\uc790\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5\uc9c4\ud765\ud68c", "8917": "\uc758\ub8cc\ube44", "8918": "\ub300\ud1b5\ub839\ud45c\ucc3d", "8919": "69.7\uc5b5\ubd88", "8920": "empty", "8921": "\ucca0\uac15\ub958", "8922": "empty", "8923": "empty", "8924": "empty", "8925": "\ud130\ud0a4", "8926": "empty", "8927": "\uc81c\ub8e1\uc0b0\uc5c5(\uc8fc)", "8928": "empty", "8929": "\ubc30\ud130\ub9ac \uc7a5\ucc29 \ud734\ub300\uae30\uae30\uc5d0 \ub300\ud55c \uc548\uc804\uc131 \uc810\uac80 \ud544\uc694", "8930": "\ubcf4\uac74\ubcf5\uc9c0\uac00\uc871\ubd80", "8931": "\ubc29\uae00\ub77c\ub370\uc2dc", "8932": "\ucda9\ub0a8\ub300\ud559\uad50", "8933": "empty", "8934": "\uc9c0\uc790\uccb4", "8935": "\uc21c\ucc9c\ud5a5\ub300", "8936": "\uc9c0\uc5ed \uc6b0\uc120\uacf5\ubaa8\uacfc\uc81c", "8937": "\uc778\ucc9c", "8938": "\uc601\ub0a8\ub300", "8939": "\uc5d0\ub108\uc9c0\uc808\uc57d\uc815\ucc45\uacfc", "8940": "\uc0d8\uc528\uc5d4\uc5d0\uc2a4", "8941": "\ud55c\uad6d\ud574\uc591\ub300\ud559\uad50", "8942": "\uc140\ud2b8\ub9ac\uc628", "8943": "empty", "8944": "17\uac1c\uc0ac", "8945": "\uc790\uc18c\uc11c \uc81c\ucd9c\uc6a9", "8946": "CAD S/W", "8947": "\ub514\uc790\uc778\uc9c4\ud765\uc6d0", "8948": "empty", "8949": "empty", "8950": "IT Korea \ubbf8\ub798\uc804\ub7b5", "8951": "empty", "8952": "\uc804\uc8fc", "8953": "R&BD\uc804\uc6a9\ud380\ub4dc", "8954": "51.2%", "8955": "\ud6c4\uc9c0\ucbd4", "8956": "empty", "8957": "\uc218\ucd9c\uc9c0\uc6d0\ucee8\uc124\ud305", "8958": "\ud6c4\ud5ec\uc2a4\ucf00\uc5b4", "8959": "FTA \uc804\ub7b5\uad6d\uac00 \ubc29\ubb38 \ud574\uc678\uc5f0\uc218", "8960": "\uac74\uc2dd \uc5f0\uc2e0\uacf5\uc815\uc744 \uc774\uc6a9\ud55c \uace0\ubd84\uc790 \ubd84\ub9ac\ub9c9 \uc81c\uc870\uae30\uc220", "8961": "\ub300\uc804", "8962": "\uad6d\uac00\ud1b5\ud569 \uc7ac\ub09c\uad00\ub9ac \uc2dc\uc2a4\ud15c \uad6c\ucd95 \ud504\ub85c\uc81d\ud2b8", "8963": "\uc0ac\uc5fc\ud654\ud0c4\uc18c", "8964": "\uac74\uac15\uad00\ub9ac \uc11c\ube44\uc2a4", "8965": "\uc774\ub780 \ud574\uc591\ud50c\ub79c\ud2b8 \ubc0f \uc81c3\uad6d \uac80\uc0ac\ubd84\uc57c \uc9c4\ucd9c\uc744 \uc704\ud55c \ud569\uc791\ubc95\uc778 \uc124\ub9bd", "8966": "50% \uc774\ub0b4", "8967": "8\uba85", "8968": "\uc5d0\ub108\uc9c0\uad00\ub9ac\uacf5\ub2e8", "8969": "empty", "8970": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "8971": "empty", "8972": "\uc0b0\uc5c5\uae30\uc220\ud3c9\uac00\uad00\ub9ac\uc6d0 \uc911\uc18c\uae30\uc5c5\uae30\uc220\uc815\ubcf4\uc9c4\ud765\uc6d0", "8973": "\uc12c\uc720IT", "8974": "\uacbd\uae30\ubcf8\ubd80 \ucd94\uc9c4\ub2e8", "8975": "empty", "8976": "5\uac1c\uad6d", "8977": "NIPA \uc815\ucc45\uae30\ud68d\ub2e8\uc7a5", "8978": "\uae40\ubcd1\ud604", "8979": "\uc13c\ud140\ud638\ud154", "8980": "3\ub144 \uc774\ub0b4", "8981": "empty", "8982": "\uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "8983": "empty", "8984": "empty", "8985": "\uc2a4\ub9c8\ud2b8 \ube14\ub85c\uc6b0 \uae08\ud615\uac00\uacf5\uc2dc\uc2a4\ud15c", "8986": "2006.5.23", "8987": "empty", "8988": "empty", "8989": "\u25e6e\ub7ec\ub2dd\uae30\ubc95\uc744", "8990": "empty", "8991": "\ud601\uc2e0\uc131\u00b7\ucc28\ubcc4\uc131 10%", "8992": "\uacbd\uc0c1\ub0a8\ub3c4", "8993": "\uc218\ucd9c \uc804\ubb38\uae30\uc5c5", "8994": "\uad6d\ubbfc\uc548\uc804 \ub85c\ubd07", "8995": "\ucca0\uac15", "8996": "7\ub144", "8997": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "8998": "\ubb34\uc5ed\ud611\ud68c", "8999": "empty", "9000": "IR\ucee8\uc124\ud305\uacfc \ud22c\uc790\uc720\uce58 \uc124\uba85\ud68c \uc81c\uacf5", "9001": "\uc81c\uc870\uc5c5 \uc5ed\ub7c9 \uac15\ud654 \uc9c0\uc6d0", "9002": "\uc804\ubb38\uac00 \uae30\uc220 \ucee8\uc124\ud305\u00b7\uc0c1\uc6a9\ud654 \uc9c0\uc6d0", "9003": "empty", "9004": "B2C \uae00\ub85c\ubc8c \uc624\ud508\ub9c8\ucf13\uc744 \ud65c\uc6a9\ud55c \ud0c0\uac9f\uc2dc\uc7a5 \uc9c4\ucd9c\ubc29\uc548", "9005": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "9006": "\uc0bc\uc131\uc804\uc790(\uc8fc)", "9007": "\uc218\uc2dc\uc811\uc218\u00b7\uc218\uc2dc\uc778\uc99d", "9008": "3\uc5b5\uc6d0", "9009": "\ubb38\ud654\ud64d\ubcf4\ucf54\ub108", "9010": "empty", "9011": "250\ub9cc\uc6d0 \uc774\ub0b4", "9012": "\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "9013": "\ub300\ub9bc\uc0b0\uc5c5", "9014": "\ucfe0\ucfe0\uc804\uc790", "9015": "empty", "9016": "\uace0\uc18d \ubb34\uc778\uc120", "9017": "empty", "9018": "empty", "9019": "1\ud68c \uc774\uc0c1 \uae30\ud68c\uc81c\uacf5\ud1a0\ub85d \uba85\uc2dc", "9020": "empty", "9021": "\ucf58\ud150\uce20 \uac1c\ubc1c\uc5c5\uccb4\uac00 \ubd80\ub2f4", "9022": "\uc2e0\uc6d4\uc131", "9023": "\ud604\ub300\uc790\ub3d9\ucc28", "9024": "(\uc8fc)\uc778\ud14d\ud50c\ub7ec\uc2a4", "9025": "\ub514\uc9c0\ud138\ub370\uc774", "9026": "empty", "9027": "3,000\ud1a4", "9028": "\ubbf8\uad6d \uc77c\ubcf8 \ud55c\uad6d \uc2a4\ud398\uc778 \ub3c5\uc77c", "9029": "\ub300\uc804 \ucee8\ubca4\uc158\uc13c\ud130", "9030": "17:00 ~ 17:05", "9031": "\uc120\ubc15 \ubc0f \ud574\uc591\ud50c\ub79c\ud2b8\uc758 \uc6b4\uc601\ub2e8\uacc4 \uc0dd\uc560\uc8fc\uae30 \uad00\ub9ac\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9032": "\uc5d0\uc2a4\uc9c0\ub2e4\ud050", "9033": "\ub300\ud1b5\ub839 \ud45c\ucc3d", "9034": "empty", "9035": "empty", "9036": "empty", "9037": "\ud55c\uc0d8", "9038": "9\uac1c", "9039": "7.25", "9040": "\ub2f5\uc2ed\ub9ac \ud604\ub300\uc2dc\uc7a5", "9041": "\uc81c\ud488\uc758 \ubb38\uc81c\uc810\uc5d0 \ub300\ud55c \ub300\uc548\uc81c\uc2dc\uac00 \ub6f0\uc5b4\ub09c \uc791\ud488", "9042": "empty", "9043": "\uc8fc\ub2c8\uc5b4", "9044": "empty", "9045": "empty", "9046": "6.5%", "9047": "\ubbf8\uad6d \uce98\ub9ac\ud3ec\ub2c8\uc544\uc8fc LA", "9048": "\uad6d\ubb34\ucd1d\ub9ac\ud45c\ucc3d", "9049": "empty", "9050": "empty", "9051": "\uc0b0\uc5c5\ud604\uc7a5 \ud575\uc2ec\uae30\uc220 \uc218\uc2dc\uac1c\ubc1c", "9052": "\ud544\ud130\uc6a9 \uc12c\uc720\uc18c\uc7ac", "9053": "\uc0c1\uc758\ud68c\uc7a5\uc0c1", "9054": "(\uc8fc)\uc5d0\ub545", "9055": "PKI", "9056": "1\uc804\uc2dc\uc7a5 1\ud640", "9057": "30.6%", "9058": "\ub18d\uc0b0\ubb3c", "9059": "\ud55c\uad6d\uc120\uc7ac", "9060": "\ud604\ub300\uc790\ub3d9\ucc28 \ud604\ub300\uc624\ud2b8\ub860", "9061": "Eric Darcy", "9062": "empty", "9063": "\u25aa\uc218\ucd9c\uc0c1\ub2f4", "9064": "CCD", "9065": "empty", "9066": "\ud574\uc591\ud50c\ub79c\ud2b8\uc6a9 \uc720\uc815\uc81c\uc5b4\ub97c \uc704\ud55c \uace0\uc555 Diverter \uac1c\ubc1c", "9067": "\uad00\ud560 \uc9c0\uc790\uccb4\uc7a5, \uc8fc\ubbfc\ub300\ud45c \uc911\uc2ec", "9068": "empty", "9069": "\uace0\ud574\uc0c1\ub3c4 \uac10\uad11\uc131 \ud398\uc774\uc2a4\ud2b8 \uc18c\uc7ac", "9070": "empty", "9071": "\ud2b9 \ud5c8 \uccad", "9072": "\ub4dc\ub860", "9073": "U$5\ub9cc \ub0b4\uc678", "9074": "\ucda9\ubd81 \ubcf4\uc740", "9075": "16", "9076": "empty", "9077": "\uc804\ub0a8", "9078": "\ubb34\uc5ed", "9079": "\ucd1d\uc0ac\uc5c5\ube44\uc758 3/4 \uae4c\uc9c0", "9080": "empty", "9081": "\ucc9c\uc5f0\uc5fc\uc0c9\uc744 \ud65c\uc6a9\ud55c \ucee4\ub9ac\uc5b4 \ucf00\uc96c\uc5bc \ub514\uc790\uc778 \uac1c\ubc1c", "9082": "\uce5c\ud658\uacbd \uc790\ub3d9\ucc28", "9083": "empty", "9084": "\ucc3d\uc6d0", "9085": "\uba54\uc774\ud50c\ub8f8", "9086": "\ub300\ud55c\uc0c1\uacf5\ud68c\uc758\uc18c \uacfc\uc7a5", "9087": "\uac00\uaca9\uacbd\uc7c1\ub825", "9088": "\ud734\ub300\ud3f0, \uc704\uc131\ubc29\uc1a1\uc218\uc2e0\uae30, \ub808\uc774\ub354, \ub9ac\ubaa8\ucf58, \uc548\ud14c\ub098, \ud734\ub300\ud3f0 \ubd80\ud488", "9089": "\uc0bc\uc131\uc11c\uc6b8\ubcd1\uc6d0", "9090": "\uad6d\ubc29\uacfc\ud559\uc5f0\uad6c\uc18c, \u25e6\uc785\uc8fc\uc608\uc815(1\uac1c): CX\uc194\ub77c\ucf54\ub9ac\uc544", "9091": "\ub514\uc790\uc778 \uc804\ubb38\uc778\ub825 10\uba85\uc774\uc0c1", "9092": "\uac70\uc81c\uc790\uc5f0\uc0dd\ud0dc\ud14c\ub9c8\ud30c\ud06c \uc870\uc131", "9093": "27.7\uc5b5\uc6d0", "9094": "(\uc8fc)\ud0a4\uc774\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "9095": "LCD \ud718\uc810 \ub9ac\ud398\uc5b4 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9096": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "9097": "\uc561\ud654\uc11d\uc720\uac00\uc2a4\uc758\uc548\uc804\ubc0f\uc0ac\uc5c5\uad00\ub9ac\ubc95 \ub4f1", "9098": "\ud6a8\uace0\ud55c\uad6d\uc0c1\uc758", "9099": "\uc790\ub3d9\ud56d\ubc95\uc744 \uc774\uc6a9\ud55c \ub9f5\ubc29\uc2dd", "9100": "empty", "9101": "\ub514\uc790\uc778 \uacf5\uac10", "9102": "empty", "9103": "\uae08\uc18d", "9104": "50%", "9105": "\ub3c5\uc77c DHL", "9106": "\ud669 \uc0b0", "9107": "\uc528\uc81c\uc774(CJ)\ub300\ud55c\ud1b5\uc6b4", "9108": "\uc77c\ubcf8", "9109": "empty", "9110": "17\uac1c\uc0ac", "9111": "ICT \uc571 \uae30\ubc18\uc758 \uc790\ub3d9\ud654 \uc5b4\uae68\ub048 \uc870\uc808\uc7a5\uce58\uac00 \uad6c\ube44\ub41c \uc790\uc138\uad50\uc815\uc6a9 \ubc31\ud329", "9112": "\u321c\ubbf8\ub798\uc0b0\uc5c5", "9113": "\uc911\uad6d \uba54\uc774\uc800 \ubbf8\uc6a9 \ud504\ub79c\ucc28\uc774\uc988, \uc911\uad6d \uc804\uc5ed 4,000\uc5ec \uac00\ub9f9\uc810 \uc6b4\uc601", "9114": "1,000\uc5b5\uc6d0", "9115": "\ubb34\uc5ed\ud22c\uc790\uc2e4\uc7a5", "9116": "\uad11\ubb3c\uacf5\uc0ac \ub300\ud68c\uc758\uc2e4", "9117": "\ud2b9\ud5c8\uccad \uccad\uc7a5", "9118": "KOTRA", "9119": "\ubd80\uc0b0", "9120": "3", "9121": "\ubd88\ub7c9\ub960", "9122": "\ud55c\uad6d\uacfc\uc758 \uacbd\uc7c1\uac00\uc5f4 \uacfc\uc789\uc124\ube44\ub85c \uc778\ud55c \uac00\uaca9 \ud558\ub77d\uacfc \uae30\uc5c5\uc774\uc724 \uac10\uc18c", "9123": "empty", "9124": "\uc120\uc9c4\uad6d \ucd94\uaca9\uc744 \ud1b5\ud55c \uae30\uc874 \uc6b0\uc704\uc758 \uacf5\uace0\ud654 \ubd80\ud488\uae30\uc220 \uac15\ud654 \uc6d0\uac00 \uacbd\uc7c1\ub825 \uc81c\uace0", "9125": "\u3003", "9126": "empty", "9127": "COEX 1\uce35 Hall A, B", "9128": "\ube14\ub8e8\uc5d4\uc774", "9129": "\uc0bc\uc131\ubb3c\uc0b0(\uc8fc)\uac74\uc124\ubd80\ubb38", "9130": "empty", "9131": "IT\uad00\ub828 \ud2b9\ud5c8 \ub4f1\ub85d\uac74\uc218", "9132": "\ud3ec\ud56d\uc0b0\uc5c5\uacfc\ud559\uc5f0\uad6c\uc6d0", "9133": "empty", "9134": "\uad00\uc138\uccad", "9135": "\uc6d0\uc0b0\uc9c0\uc815\ubcf4\uc6d0", "9136": "5\ucc9c\uba85", "9137": "\uc0b0\uc5c5\ubd80", "9138": "empty", "9139": "\uc9c0\uc5ed\uc0ac\ud68c", "9140": "15\uc5b5\uc6d0", "9141": "\uc9c0\uc790\uccb4, \uacf5\uae30\uc5c5, \uc0b0\uc5c5\ub2e8\uc9c0\uacf5\ub2e8 \ub4f1\uc774 \uc870\uc131\ud55c \uc0b0\uc5c5\ub2e8\uc9c0 \ud1a0\uc9c0\ub85c \ud55c\uc815", "9142": "empty", "9143": "3,337\uac74", "9144": "365\ub9cckW", "9145": "20%", "9146": "38%", "9147": "empty", "9148": "\ucd5c\ub300 500\ub9cc\uc6d0", "9149": "2\ub144", "9150": "empty", "9151": "\uc2dc\uc7a5 \uac10\uc2dc\ud65c\ub3d9 \uc9c0\uc6d0", "9152": "14.4\uc870\uc6d0", "9153": "empty", "9154": "4\ucc28 \uc0b0\uc5c5\ud601\uba85 \uae30\uc220\ud611\ub825 MOU", "9155": "50%", "9156": "\uc218\ucd9c\uc785\uc740\ud589", "9157": "empty", "9158": "\ud604\ub300\ucc28\uadf8\ub8f9 \ubd80\ud68c\uc7a5", "9159": "empty", "9160": "\ub9c8\ub2c8\ub85c\ubd07", "9161": "\uc724\ud65c\uc720", "9162": "1\uac1c\uc0ac", "9163": "8,862\ubc31\ub9cc\uc6d0", "9164": "3.7%", "9165": "28.5%", "9166": "44\ub144", "9167": "OpenLAB \uc5f0\uacc4\ud615", "9168": "\uc815\uaddc\uc9c1 \uc778\ub825 11.9\uc5b5\uba85\uc774 \uc790\ub3d9\ud654 \uc601\ud5a5\uc744 \ubc1b\uc744 \uac83", "9169": "\uc544\uc0b0", "9170": "1/2 \uc774\ub0b4", "9171": "300\uc5b5\uc6d0", "9172": "A\uc0ac", "9173": "\uc911\uad6d", "9174": "\uc0ac\uc5c5\ud654 \ucee8\uc124\ud305", "9175": "\uc81c\uc548\uc758 \ud0c0\ub2f9\uc131 \ubc0f \uc2a4\ub9c8\ud2b8\uacf5\uc7a5 \uad6c\ucd95 \uc758\uc9c0", "9176": "KTL, \uc5d0\uae30\uc5f0", "9177": "empty", "9178": "\uc0b0\uc5c5\uc790\uc6d0\ud611\ub825\uc2e4\uc7a5", "9179": "25,175.1\uc5b5\uc6d0", "9180": "5325", "9181": "LG", "9182": "61\uac1c\uad6d", "9183": "(\uc8fc)\ub77c\ubbf8\ub098", "9184": "\ub204\uac00\uc758\ub8cc\uae30", "9185": "\uc8fc\ub825\uc0b0\uc5c5", "9186": "\ub300\ud559\uc6d0", "9187": "\u321c\uc774\ub808\ud654\ud559 \uc0c1\uc0ac", "9188": "\uc2dd\ud488\uc704\uc0dd\ubc95\uc5d0 \uad00\ud55c \ud2b9\ub840", "9189": "5. 26(\ubaa9)", "9190": "\uc815\ubcf4\ud1b5\uc2e0\uc0b0\uc5c5", "9191": "\ud575\uc5f0\ub8cc\uac00\uacf5", "9192": "\uc628\ub77c\uc778\uc1fc\ud551\ubab0", "9193": "ELV", "9194": "\ud64d\ubcf4\ubb3c", "9195": "500\uc5b5 \uc6d0", "9196": "(\uc8fc)\uc544\uc774\ube44\uc9c0\uc591\ud589", "9197": "30", "9198": "300\uc5b5\uc6d0", "9199": "\uc9c0\uc18d\uac00\ub2a5\uacbd\uc601\ube44\uc804", "9200": "empty", "9201": "\ud658\uacbd\uc815\ucc45 \ubc0f \uc0ac\ud68c\uc815\ucc45\uc5d0 \ub300\ud55c \uac10\uc0ac \ube44\uc911\uc5d0 \ub300\ud55c \ube44\uc728\uc774 \uc5ec\uc804\ud788 \ub0ae\uc740 \uac83\uc73c\ub85c \uc870\uc0ac\ub428", "9202": "\ub300\ud55c\uc8fc\ud0dd\ubcf4\uc99d, \ud55c\uad6d\ub3c4\ub85c\uacf5\uc0ac", "9203": "\ub514\uc824 \uc18c\ud615, \ud654\ubb3c\ucc28", "9204": "\uc544\ud1a0\ud53c\ucf00\uc5b4 \ud2b9\uad6c", "9205": "empty", "9206": "\uc804\uc790\uc0c1\uac70\ub798 \uae30\uc5c5(\uc0bc\uc131 SDS)", "9207": "\ubc31\ud654\uc810, \uc218\ud37c\ub9c8\ucf13 \ub4f1 \uacf5\uc0b0\ud488 \ud310\ub9e4 51\uac1c \uc18c\ub9e4\uc5c5\uc885 \uad00\ub828 \uc18c\ub9e4\uc810\ud3ec \ub0b4 \ubaa8\ub4e0 \ud488\ubaa9", "9208": "\uadf8\ub9b0 \uc218\uc1a1", "9209": "\ucc3d\uc6d0", "9210": "\uc0bc\uc131\uc804\uc790", "9211": "\ubc14\uc774\uc624\uc758\ub8cc", "9212": "\ud3ab\ud384\uc2a4", "9213": "(\uc8fc)\uc820\ud55c\uad6d", "9214": "empty", "9215": "\uc5d0\ub108\uc9c0 \ud1b5\ud569 \uad00\uc81c \uc2dc\uc2a4\ud15c", "9216": "12\uc2dc\uac04", "9217": "\uc804\uc790, \uc804\uae30, \uc7ac\ub8cc, \uae30\uacc4, \ubb3c\ub9ac \ubc0f \ud654\ud559 \ub4f1", "9218": "\uae30\uc220 \ud3ec\ub7fc", "9219": "\uc9d5\uacc4\uc758\uacb0 \uc694\uad6c \uc758\ubb34\ud654", "9220": "\ub370\ud06c", "9221": "1/2 \uc774\ub0b4", "9222": "\ud55c\uad6d\uae30\uacc4 \uc5f0\uad6c\uc6d0", "9223": "empty", "9224": "empty", "9225": "empty", "9226": "2005. 5.20", "9227": "empty", "9228": "\uc778\uc1c4\ud68c\ub85c\uae30\ud310, LED \uc870\uba85, \uce74\uba54\ub77c\ubaa8\ub4c8, \ucc28\ub2e8\uc131/\uc804\ub3c4\uc131 \uc18c\uc7ac \ub4f1", "9229": "empty", "9230": "216\uac1c", "9231": "empty", "9232": "45\uc5b5\uc6d0", "9233": "empty", "9234": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "9235": "26\uac1c\uad6d", "9236": "50", "9237": "empty", "9238": "\uc81c\ud488\uc0ac\ud6c4\uc11c\ube44\uc2a4", "9239": "\ub9ac\ubca0\ub77c \ud638\ud154", "9240": "3\uac1c", "9241": "\uad50\ubcf4\uc0dd\uba85\ubcf4\ud5d8(\uc8fc)", "9242": "empty", "9243": "\uae08\uc735, \ubcf4\ud5d8, \uc5d0\ub108\uc9c0 \uad00\ub9ac\uae30\uc220", "9244": "empty", "9245": "empty", "9246": "311\uac74", "9247": "5\uc77c", "9248": "\ubc15\ud654\uc11d", "9249": "35%", "9250": "\uc6b8\ub989\ub3c4 \uc0ac\uc5c5 \ucc29\uacf5", "9251": "16\uc885", "9252": "\uc5d0\uc2a4\uc5d4\ucf00\uc774\ud56d\uacf5\u321c", "9253": "empty", "9254": "empty", "9255": "\uac74\ubb3c\uc5d0\ub108\uc9c0 \uc8fc\uce58\uc758 \uc81c\ub3c4", "9256": "9\uc5b5", "9257": "COEX 320\ud638", "9258": "empty", "9259": "empty", "9260": "\u25aa\uae30\uc220\uac1c\ubc1c\uc5d0 \ud544\uc694\ud55c ETRI \uc18c\uc18d \uc5f0\uad6c\uc778\ub825 \ud30c\uacac\uc9c0\uc6d0 * \ucd9c\uc5f0\uc5f0\uad6c\uc18c \uc5f0\uad6c\uc778\ub825 \ud30c\uacac \ud65c\uc6a9", "9261": "\uac00\uc2a4\uae30\ub2a5\uc0ac, \uc591\uc131\uad50\uc721 \uc774\uc218\uc790", "9262": "\ubd80\uc0b0 \ubd80\uacbd\ub300\ud559\uad50 \ub300\ud559\uadf9\uc7a5", "9263": "3\ucc99", "9264": "20\uac1c", "9265": "empty", "9266": "empty", "9267": "106\uc5b5 5,800\uc6d0", "9268": "empty", "9269": "17.12", "9270": "empty", "9271": "30.3%", "9272": "400\ub9cc\uc6d0", "9273": "K-Global \uc2a4\ub9c8\ud2b8 \ub514\ubc14\uc774\uc2a4 \ubd84\uc57c \uacf5\ubaa8\uc804", "9274": "empty", "9275": "\uc0b0\uc5c5\ubd80 \uc7a5\uad00\uc0c1", "9276": "empty", "9277": "\ud034\uc988", "9278": "empty", "9279": "2,205\ud3c9", "9280": "KS C 2301", "9281": "\uc138\ud3ec\uc720\uc804\ud559", "9282": "200\uc5b5\uc6d0", "9283": "\uc2e0 \uaddc", "9284": "empty", "9285": "\ubca4\ucc98\uae30\uc5c5", "9286": "150\uc5ec\uba85", "9287": "empty", "9288": "empty", "9289": "\uc9c1\uc7a5\uc5b4\ub9b0\uc774\uc9d1", "9290": "\uc54c\uc81c\ub9ac", "9291": "\uc804\uae30\uc81c\ud488, \uac74\ucd95\ubb3c\uad6c\uc870, \uc218\uc601\uc7a5\uc7a5\ube44, \uc644\uad6c, \uc704\ud5d8\uc131\ubb3c\uc9c8\uc548\uc804 \ub4f1", "9292": "9\uc6d4 4\uc77c", "9293": "empty", "9294": "\ub178", "9295": "\uc0bc\uc131\uc804\uc790(\uc8fc)", "9296": "\uace0\uc131, \uc815\uc120, \ud3c9\ucc3d", "9297": "\uace0\uc18d\uc8fc\ud589\uc6a9 \ub2e4\uae30\ub2a5 \ud2b8\ub7ed\uc9c0\uac8c\ucc28", "9298": "empty", "9299": "\uc911\u00b7\uace0\uad50\uc0dd", "9300": "IGCC, \ud3d0\uae30\ubb3c \ubd80\uc0dd\uac00\uc2a4", "9301": "\ud64d\uc885\ucca0", "9302": "\uc601\ub0a8\uad8c", "9303": "\ucc3d\uc6d0", "9304": "\uc0b0\ub2e8\ud615 \uacf5\ub3d9 \uc9c1\uc7a5 \uc5b4\ub9b0\uc774\uc9d1", "9305": "1,000\uc5b5\uc6d0", "9306": "20", "9307": "\uae30\uc5c5 \ud65c\ub3d9\uc744 \ud1b5\ud574 \ub098\ud0c0\ub098\ub294 \ud604\uae08\uc758 \uc720\uc785\uacfc \uc720\ucd9c(Cash Flow)", "9308": "4", "9309": "84\uac1c", "9310": "\uc790\ub3d9\ucc28 2050 \ud0c4\uc18c\uc911\ub9bd \ub85c\ub4dc\ub9f5 \ucd94\uc9c4 \ud604\ud669", "9311": "\ub3c5\uc77c DHL", "9312": "\ud638\uc11c\ub300", "9313": "\uc544\uc0ac\ud788\uae00\ub77c\uc2a4", "9314": "\ub300\uad6c \uc0c1\uacf5\ud68c\uc758\uc18c", "9315": "\ubaa8\ud130", "9316": "empty", "9317": "\ubbfc\uad70 \uacf5\ub3d9\ud65c\uc6a9\uc774 \uac00\ub2a5\ud55c \ud1b5\uc2e0\uc2dc\uc2a4\ud15c, \ub808\uc774\ub2e4 \ub4f1\uc5d0 \ud544\uc694\ud55c \uc18c\uc790\uac1c\ubc1c", "9318": "\uc804\uae30\ucc28\uc758 \ubc30\ud130\ub9ac \ud6a8\uc728\uc744 10\ubc30 \ud5a5\uc0c1\uc2dc\ud0a4\ub294 \ubc18\ub3c4\uccb4 \ub4f1", "9319": "\uae30\uc220\uae30\uc900", "9320": "\ud734\uba3c\ud329\ud130 \ud3c9\uac00\ubc29\ubc95, \uc694\uc778\ubcc4 \uc778\uccb4 \uc548\uc804\uc131 \uae30\uc900", "9321": "ETRI", "9322": "empty", "9323": "\uc804\uc790", "9324": "empty", "9325": "665.9\uc5b5\uc6d0", "9326": "empty", "9327": "empty", "9328": "\uc624\ud508\ub9c8\ucf13", "9329": "empty", "9330": "empty", "9331": "\ubb34\uc120\ud1b5\uc2e0\uae30\uae30", "9332": "30\uba85", "9333": "System Integration\uc2dc\uc2a4\ud15c \uad6c\ucd95", "9334": "empty", "9335": "25.7%", "9336": "\uc790\uc728\uc6b4\ud56d\uc120\ubc15 \uc6b4\uc6a9 \uae30\uc220 \ubc0f \ud45c\uc900\ud654 \uac1c\ubc1c", "9337": "\uacbd\uae30", "9338": "empty", "9339": "\uc625\uc678\ubb34\ub300", "9340": "\u25aa\uae30\uc5c5\ud22c\uc790, \uc2e0\uc0b0\uc5c5 \uc9c4\ucd9c \ubc0f \uc0ac\uc5c5\uad6c\uc870 \uc7ac\ud3b8 \ucd09\uc9c4", "9341": "CSS", "9342": "3\ucc9c\ub9cc\uc6d0", "9343": "30% \ucd94\uac00\uad00\uc138 \ubd80\uacfc", "9344": "\ud504\ub77c\uc6b4\ud638\ud37c \uc5f0\uad6c\uc18c", "9345": "\ub300\ud559 \uc5f0\uad6c\ud65c\ub3d9", "9346": "\ud55c\uc591\ub300", "9347": "\ud574\uc678 \uba54\uc774\uc800(\uc5d1\uc2a8\ubaa8\ube4c \ub4f1), \uac00\uc2a4\uacf5\uc0ac", "9348": "6\uc6d4", "9349": "empty", "9350": "6\uc5b5\uc6d0", "9351": "\u321c\uc2e0\ud55c\uae08\uc735\uc9c0\uc8fc\ud68c\uc0ac", "9352": "\uad6c\uc870\ud654\ub41c \uc124\ubb38\uc9c0", "9353": "\ud504\ub85c\uc81d\ud2b8 \ubc1c\uc758", "9354": "\uc911\uae30\uccad", "9355": "\ubc95\uc778\uc138 3\ub144\uac04 \uacfc\uc138\ud2b9\ub840(\uc81c60\uc870) \uacf5\uc7a5 2008\ub144\ub9d0", "9356": "5\ub144", "9357": "\uc0dd\uccb4\uc2e0\ud638\ub97c \uc774\uc6a9\ud55c IT \uae30\ubc18 \uc7ac\ud65c\uc758\ub8cc\uae30\uae30 \uae30\uc220", "9358": "10%\uc774\ub0b4", "9359": "\ud558\uc774\uace0\ud300", "9360": "\uacf5\ub3d9\ubd80\uc2a4 \uc124\uce58 \u25aa\ub300\uae30\uc5c5 \uc804\uc2dc\uad00\uc5d0 \ud611\ub825\uc5c5\uccb4 \ud64d\ubcf4\uad00 \uacf5\ub3d9\uc124\uce58", "9361": "\ud0dc\uc591\uad11 \ubaa8\ub4c8", "9362": "500\uba85", "9363": "empty", "9364": "empty", "9365": "World-Class 300", "9366": "2016.8.11.", "9367": "\ud558\ub3d9\ud604", "9368": "\ub2c8\ucf08", "9369": "\uc778\ucf54\u2027\ud330\ucf58\ube0c\ub9ac\uc9c0", "9370": "\ubd84\ud64d, \uac08\uc0c9 \ud558\uc591, \ud68c\uc0c9, \uac80\uc815", "9371": "19\uba85", "9372": "empty", "9373": "\uc800\uc791\uad8c \uce68\ud574 \ub4f1", "9374": "\ud55c\uad6d\uc2a4\ub9c8\ud2b8\ud648 \uc0b0\uc5c5\ud611\ud68c", "9375": "empty", "9376": "\ubc31\ud654\uc810, \ub300\ud615\ud560\uc778\uc810, \uc778\ud130\ub137\uc1fc\ud551\ubab0, TV\ud648\uc1fc\ud551, \ud3b8\uc758\uc810, \uc8fc\uc720\uc18c", "9377": "11%\uc774\ub0b4", "9378": "81.5\uc870\uc6d0", "9379": "\ub2e8\uc804\uc2dc \ub300\uc751\uc694\ub839", "9380": "696\uc5b5\uc6d0", "9381": "\ud604\ub300\ubaa8\ube44\uc2a4", "9382": "\ud575\uc2ec\uae30\uc220 \uc120\uc810 \ubc0f \uc2dc\uc7a5\ucc3d\ucd9c", "9383": "\ucd5c\ub300 2\uc8fc\uc774\ub0b4", "9384": "\uc6a9\ub7c9\ubcc4 \ubb34\uc120\ucda9\uc804 \uc2dc\ud5d8\uc778\uc99d", "9385": "\uae08\uc18d", "9386": "\ud604\ud589\uacfc \ub3d9\uc77c \u3147\uc8fc\uc720\uc18c\uc640 \uc815\uc720\uc0ac\uac04 \uacc4\uc57d\uc5d0 \ub530\ub77c \uc0c1\ud45c \ud45c\uc2dc", "9387": "\ubb34\uc120\ud1b5\uc2e0\uae30\uae30", "9388": "empty", "9389": "SK \ub9c8\ucf00\ud305\uc564\ucef4\ud37c\ub2c8, \ub18d\uc2ecNDS", "9390": "\uc2a4\ub9c8\ud2b8 \ud558\uc774\uc6e8\uc774, \uc790\uc728\uc8fc\ud589 \ub3c4\ub85c\uc778\ud504\ub77c\uc5d0 \uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4 \ud65c\uc6a9 \uac80\ud1a0", "9391": "\uc0c1\uc6a9\ucc28 \uc18c\ub7c9\uc218\ucd9c\uc2dc EU\uc808\ucc28 \uc644\ud654\uc694\uccad \ub4f1", "9392": "\uc6d4 \uc804\uae30\uc694\uae08\uc758 50%", "9393": "\ucf54\ud2b8\ub77c", "9394": "2017.9.", "9395": "empty", "9396": "\uc774\ub178\ub514\uc2a4", "9397": "empty", "9398": "\ubbfc\uac04\uae30\uc220\uac70\ub798\uae30\uad00", "9399": "\uc0b0\uc790\ubd80", "9400": "\u321c\uae08\ub95c\ud32c\uc544\ud06c \ub300\ud45c", "9401": "\ubc18\ub3c4\uccb4", "9402": "\u321c\uae08\uc591\uc774\uc564\uc528", "9403": "\uc911\uad6d", "9404": "\uc0b0\uc5c5\ubd80", "9405": "15\ub144", "9406": "\ube44\uc5d0\uc2a4\ud14c\ud06c", "9407": "\uac00\uc74c\uc815\uc2dc\uc7a5", "9408": "4G DRAM", "9409": "5.5\uc5b5", "9410": "KIEP", "9411": "\uba54\ubaa8\ub9ac\uae30\ubc18 HD\uae09 \ube44\ub514\uc624 \uc11c\ubc84", "9412": "\ud55c\uc194\ucf00\ubbf8\uc5b8\uc2a4", "9413": "empty", "9414": "\ub09c\ubc29\uacf5\uc0ac", "9415": "\ud3d0\uae30\ubb3c", "9416": "\uc0c1\uc218\ub3c4 \uc5f4", "9417": "\ub300\ud55c\uc0c1\uacf5\ud68c\uc758\uc18c, \ud55c\uad6d\ubb34\uc5ed\ud611\ud68c\uc758 \uc0c1\uadfc\ubd80\ud68c\uc7a5", "9418": "2010\ub144", "9419": "\uc911\uc18c\uae30\uc5c5 \uc911\uc18c\u00b7\uc911\uacac\uae30\uc5c5", "9420": "\uc544\ubbf8\ud0c0", "9421": "empty", "9422": "\uc601\uc5c5\uc591\uc218\ub3c4", "9423": "\uae08\ud638\ud0c0\uc774\uc5b4(\uc8fc)", "9424": "(\uc8fc)\ub300\ud55c\ucf58\uc124\ud0c4\ud2b8", "9425": "\uc591\uc9c8\uc758 \ub370\uc774\ud130\u2027AI \ud65c\uc6a9\uc774 \uac00\ub2a5\ud55c \uae00\ub85c\ubc8c \uc218\uc900 \uc9c0\ub2a5\ud654 \uc778\ud504\ub77c \ud655\ubcf4", "9426": "\ud575\uc2ec\uc778\uc7ac", "9427": "\ud559\uc0dd \uc2a4\uc2a4\ub85c \uc120\ud0dd\u2027\uc131\uc7a5\ud558\ub294 \uad50\uc721", "9428": "\ud55c\uad6d\uae30\uacc4\uc5f0\uad6c\uc6d0", "9429": "3\uac74 18\uac74", "9430": "\uc790\ub3d9\uc81c\uc138\ub3d9\uae30", "9431": "24.0% 2,669\uc5b5\uc6d0", "9432": "empty", "9433": "empty", "9434": "\ub514\uc790\uc778\uc2e4\uc6a9\ud654\uc13c\ud0c0", "9435": "19.0", "9436": "\ud658\uacbd\ubd80", "9437": "\uc7ac\ubc30\uc9c0\uc6d0\uc0ac\uc5c5, \uc57d\ucd08\uc7ac\ubc30\uc9c0\uc6d0\uc0ac\uc5c5 \uc5f0\uacc4", "9438": "\ucda9\ubd81\ub300\ud559\uad50, \uacbd\ubd81\ub300\ud559\uad50, \uc601\ub0a8\ub300\ud559\uad50, \ud55c\uad6d\uae30\uc220\uad50\uc721\ub300\ud559\uad50", "9439": "4\uc5b5\uc6d0", "9440": "\uc8fc\uad00\uae30\uad00", "9441": "empty", "9442": "\ud0dc\uc591\uad11, \ud48d\ub825 \ub4f1 \uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "9443": "\uc561\uc815\uc815\ub7c9 \ud1a0\ucd9c\uc7a5\ube44", "9444": "KAERI", "9445": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "9446": "4", "9447": "\ubca0\ub97c\ub9b0", "9448": "\uacbd\uae30 \ud654\uc131\uc2dc", "9449": "\uc784\uc9c1\uc6d0 \ub300\uc0c1", "9450": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765 \uc720\uacf5\uc790", "9451": "518\uae30\ub150\ubb38\ud654\uc13c\ud130\ub300\ub3d9\ud640", "9452": "\uc778\ub3c4", "9453": "\ud68c\uc758 \ubc0f \uc804\uc2dc\uc0b0\uc5c5 \uc721\uc131 \ubc0f \uc0b0\uc5c5\uac04 \uc5f0\uacc4 \uac15\ud654", "9454": "empty", "9455": "\ubf08\uc640 \uc0dd\ub9ac\ud654\ud559\uc801 \uacb0\ud569", "9456": "3\ubd84", "9457": "\ubaa9\ud3ec\uc2dc\uc18c\ud504\ud2b8\uc6e8\uc5b4\uc9c0\uc6d0\uc13c\ud130", "9458": "Inno-Cafe", "9459": "\ud574\uc591\uc2dc\ucd94\uc2dc\uc124", "9460": "\uc9c0\uba74\uc778\uc1c4", "9461": "10:00\u223c18:00", "9462": "8,986\uae30\uc5c5", "9463": "10,000\uc6d0", "9464": "PD\uac04 \ud611\uc758\ub97c \ud1b5\ud574 \uac00\uae09\uc801 \ub514\uc790\uc778 \ucc38\uc5ec\uac00 \ud655\ub300\ub420 \uc218 \uc788\ub294 \ubc29\uc548 \ubaa8\uc0c9", "9465": "2.4", "9466": "\ud22c\uc790\uad50\uc5ed\ud300", "9467": "25\uac1c\uad6d", "9468": "225\uba85", "9469": "\ub300\ub355\uc774\ub178\ud3f4\ub9ac\uc2a4\ubca4\ucc98\ud611\ud68c", "9470": "\ubc18\ub3c4\uccb4\uc18c\uc790 \ubd84\uc11d\uae30", "9471": "empty", "9472": "KEIT", "9473": "RFID/USN \uc131\uacf5 \ub3c4\uc785\uc0ac\ub840 \uc18c\uac1c", "9474": "empty", "9475": "\ub9c8\uc774\ud06c\ub860, \ub3c4\uc2dc\ubc14", "9476": "\uc77c\uc790\ub9ac\uacbd\uc81c\uacfc\uc7a5", "9477": "7\uac1c\uc0ac", "9478": "\uc9c0\ubc29\uc7ac\uc815\ud559\ud68c", "9479": "\uc9c4\ub2e8\uc6a9 \uc2dc\uc2a4\ud15c \ubc18\ub3c4\uccb4", "9480": "empty", "9481": "empty", "9482": "\ud55c\uad6d\uc758 \uc804\ud1b5 \uc77c\ub958\uc0c1\ud488", "9483": "\ucd5c\uc885\uc120\ubc1c\ub41c 10\ud300\uc758 \uc544\ub9c8\ucd94\uc5b4 \uacf5\uc5f0\ub2e8\uc758 \uacbd\uc5f0\ubb34\ub300", "9484": "323\uba85", "9485": "\ub098\ub178\uc18c\uc790", "9486": "(\uc8fc)\uba54\ub514\uc2a8", "9487": "empty", "9488": "\ube44\ubc95\ub839", "9489": "empty", "9490": "\uc804\ub0a8\ub300 \ubc95\ud559\uc804\ubb38\ub300\ud559\uc6d0", "9491": "\uc2a4\ud399\ud2b8\ub7fc \uc790\uc6d0 \ud6a8\uc728\uc131 \uadf9\ub300\ud654\ub97c \ud1b5\ud55c \uc2a4\ub9c8\ud2b8 \ud648\ub124\ud2b8\uc6cc\ud06c\uc6a9 \ub2e4\uc911\ub300\uc5ed WPAN \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9492": "\ub0b4\uc218 \ubc0f \uc218\ucd9c \ud638\uc870", "9493": "\ud604\ub300\uae30\uc544\uc790\ub3d9\ucc28", "9494": "SK\ud558\uc774\ub2c9\uc2a4", "9495": "\uad6d\ub0b4\uc81c\uc77c \uba5c\ub860\ub2e8\uc9c0 \uc721\uc131", "9496": "\ud55c\uad6d\uacac\uc9c1\uc5f0\uad6c\uc6d0", "9497": "\uc0ac\uc5c5\ubaa9\ud45c\uc758 \uba85\ud655\uc131", "9498": "\uc2a4\ub9c8\ud2b8\uc6cc\ud06c", "9499": "30%", "9500": "\ub958\ub9c8\ud2f0\uc2a4 \uad00\uc808\uc5fc \uce58\ub8cc\uc6a9 \ucc28\uc138\ub300 \ud56d TNFa \ud56d\uccb4\uc758 \uac1c\ubc1c", "9501": "\ud601\uc2e0", "9502": "\uc6d0\uc548\uc704 \uc0ac\ubb34\ucc98\uc7a5", "9503": "\ud601\uc2e0", "9504": "(\uc8fc)\uc36c\ud504\ub808\uc778\ucf54", "9505": "\uc9c0\ub2a5\ud615 HVI \uae30\uc220, DMB\uae30\ubc18 \ub9f5 \uac31\uc2e0 \uae30\uc220, \ucc28\ub7c9 \uc790\ub3d9 \uc720\ub3c4 \uae30\uc220 \ub4f1", "9506": "40", "9507": "\ud30c\ub9ac", "9508": "IT \ubc0f \uc790\ub3d9\ucc28\ubd84\uc57c \uae30\ucd08\uae30\uc220\uad50\uc721", "9509": "\u25aa\uac01\uc885 \uc778\ud5c8\uac00\u00b7\uc0ac\uc5c5\uacc4\ud68d \uc2b9\uc778 \ub4f1 \uc11c\ube44\uc2a4\ub97c \uc6d0\uc2a4\ud1b1 \uc81c\uacf5", "9510": "\uae30\uc220\uc131, \uacbd\uc81c\uc131, \ud488\uc9c8\u00b7\uc131\ub2a5, \uc2dc\uc7a5\uc131, \ud488\uc9c8\uacbd\uc601", "9511": "2018\ub144 \uc5c5\uacc4 \ubaa8\uc2b5", "9512": "empty", "9513": "\ucd08\uae30 \uc0ac\uc5c5\ud654 \ub2e8\uacc4 \uae30\uc5c5", "9514": "3\ucc9ckW", "9515": "\ubbf8\uad6d", "9516": "\ud2b8\ub808\ub4dc \uc911\uc559\ubd80\uc758 \uce7c\ub77c\ud0c0\uc774\uc5b4 \uc81c\uc870\uae30\uc220", "9517": "20\uff5e30\uc5b5\uc6d0 \ub0b4\uc678 \ub2e8\uc704\uacfc\uc81c\ub2f9 5\uc5b5\uc6d0", "9518": "empty", "9519": "12", "9520": "\uae08\uc18d\uad11", "9521": "\uae34\uae09 \uc808\uc804", "9522": "LG \uc804\uc790 \ubc0f \uc0b0\uc5c5\uae30\uc220\uc2dc\ud5d8\uc6d0", "9523": "empty", "9524": "\uc774\uc0b0\ud654\ud0c4\uc18c", "9525": "\uc720\ub9ac \ub610\ub294 \uae08\uc18d", "9526": "25~29.9 )30", "9527": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "9528": "\uc0b0\uc5c5\uae30\uc220\uc9c4\ud765\ud611\ud68c", "9529": "3\uac1c", "9530": "354\uc5b5\ubd88", "9531": "\uc0ac\uc774\ubc84\uc2dc\uc7a5 \uc2e0\ub8b0\uc131\uc81c\uace0", "9532": "empty", "9533": "\uc790\ub3d9\ucc28\ubd80\ud488", "9534": "\uc9c0\uc6d0\uc2dc\uc124", "9535": "empty", "9536": "7.17(\uc218)", "9537": "2\uac1c\uc6d4 \ub0b4\uc678", "9538": "\ud37c\uc2a4\ub110\ub85c\ubd07 \uae30\ubc18\uae30\uc220\uac1c\ubc1c", "9539": "IBM", "9540": "\u318d\uad6d\uac00\uc720\uacf5\uc790 \uc608\uc6b0 \ubc0f \uc9c0\uc6d0\uc5d0 \uad00\ud55c \ubc95\ub960\uc5d0 \uc758\ud55c 1\uff5e3\uae09 \uc0c1\uc774\uc790 \uac00\uad6c", "9541": "751\uc5b5\uc6d0", "9542": "\ud604\uc7ac\uc77c\ub958\uc0c1\ud488", "9543": "empty", "9544": "\ud3f4\ub780\ub4dc", "9545": "3\uac74 18\uac74", "9546": "7", "9547": "PJM", "9548": "empty", "9549": "150\uba85 3.6\uc5b5 658\uba85 6.7\uc5b5 808\uba85", "9550": "KPC", "9551": "empty", "9552": "\uc624\uc2a4\ud2b8\ub9ac\uc544, \ubca8\uae30\uc5d0, \uc774\uc9d1\ud2b8, \ub178\ub974\uc6e8\uc774, \ud3f4\ub780\ub4dc, \ud3ec\ub974\ud22c\uac08, \uc138\ub974\ube44\uc544, \ud0dc\uad6d", "9553": "9,010\uba85", "9554": "\uacf5\uc791\uae30\uacc4\uacf5\uc5c5\ud611\ud68c", "9555": "\uc2dc\uc7a5 \ubaa8\ub2c8\ud130\ub9c1", "9556": "empty", "9557": "\uacf5\uae09\uc790", "9558": "empty", "9559": "ISO21849", "9560": "501\ucc9c\uc6d0/kW", "9561": "\uc804\ud1b5\uc2dc\uc7a5\uc758 \uc5d0\ub108\uc9c0\ud6a8\uc728 \ubc0f \uc548\uc804\ubb38\uc81c \uac1c\uc120\uc744 \uc704\ud55c \uae30\uc220\uc801\uc6a9 \ubc29\uc548", "9562": "\ub300\uacbd\uad8c", "9563": "EDI \ubcf4\ud5d8\uccad\uad6c", "9564": "50\ub144", "9565": "empty", "9566": "COEX", "9567": "2005", "9568": "3\ucc28 \uc2e4\ubb3c\uc2ec\uc0ac \ubc0f \uba74\uc811", "9569": "empty", "9570": "\ud2b9\ud5c8\uae30\uc220\uc774\uc804 \uc9c0\uc6d0\uc0ac\uc5c5", "9571": "\ud604\ub300\uc544\ud2b8\ubaa8\uc544(\uc870\ub825)", "9572": "\ub300\uc6b0\uc870\uc120\ud574\uc591", "9573": "2005.7.1\uae4c\uc9c0 \uc720\uc608", "9574": "26\uac1c", "9575": "\uc194\ub77c\ud328\ub110", "9576": "\uc57d 4\uc77c", "9577": "350\uba85", "9578": "10\uba85", "9579": "empty", "9580": "\uc900\ubc95\uc9c0\uc6d0\ud300", "9581": "13\uac1c", "9582": "\ud589\ubcf5\uccad", "9583": "\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "9584": "empty", "9585": "empty", "9586": "WG24(\ub0b4\ubd80 \ubcf4\ud638)", "9587": "empty", "9588": "\ub355\ud0c0\uc77c \uc8fc\ucca0\uad00\u2013\ud604\uc7a5 \uc801\uc6a9\uc744 \uc704\ud55c \ud3f4\ub9ac\uc5d0\ud2f8\ub80c \ud53c\ubcf5", "9589": "\uc7a5\ube44\u00b7\uc7ac\ub8cc \uc131\ub2a5\ud3c9\uac00", "9590": "\ub300\uc6b0\uc885\ud569\uae30\uacc4", "9591": "empty", "9592": "\uc0bc\uc131\uc804\uc790(\uc8fc)", "9593": "\ucc3d\uc5c5\ub3c4\uc57d\ud328\ud0a4\uc9c0", "9594": "\uac15\uad00\ub958", "9595": "empty", "9596": "\uc138\uc885 \uc804\ud1b5\uc2dc\uc7a5", "9597": "empty", "9598": "\uc548\uacbd\ud14c, \ub80c\uc988, \uc120\uae00\ub77c\uc2a4, \uad11\ud559\uae30\uae30\ub4f1", "9599": "\uc54c\ucf54\uc62c", "9600": "\ucc9c\uc548\uc0c1\ub85d\ud638\ud154 2\uce35\uc0c1\ub85d\ud640", "9601": "empty", "9602": "\uc0ac\ud68c\uacf5\ud5cc\uc13c\ud130", "9603": "AI \uc2dc\uc2a4\ud15c", "9604": "empty", "9605": "1\ub144 \uc774\ub0b4", "9606": "aT Center\uc0ac\uc7a5", "9607": "63%", "9608": "\uc218\ub3c4\uad8c\ub0b4 \uad6d\ub0b4 \ub300\uae30\uc5c5 \uacf5\uc7a5 \uc2e0\uc99d\uc124", "9609": "\ub3c4\uc11c\ubb38\ud654\uc0c1\ud488\uad8c", "9610": "empty", "9611": "STIC Investment", "9612": "empty", "9613": "empty", "9614": "9.3%", "9615": "empty", "9616": "empty", "9617": "empty", "9618": "105\uc5b5\uc6d0", "9619": "empty", "9620": "\ud0c0\uc6b4\ud615 \ube4c\ub529", "9621": "empty", "9622": "90\uac1c\uc0ac", "9623": "\ub3d9\uad6d\ub300", "9624": "81\ub9cc\uba85", "9625": "\ub3d9\uad74\ucc98\ubd84", "9626": "3,431\ubc31\ub9cc\uc6d0", "9627": "empty", "9628": "13\uac1c", "9629": "81.0% 84.8% 3%\ub0b4\uc678", "9630": "\ud2b9\uc218\ubaa9\uc801\ud68c\uc0ac \uc124\ub9bd\uc694\uac74 \uc644\ud654", "9631": "\uc81c\uc8fc \ud5ec\uc2a4\ucf00\uc5b4 \ud0c0\uc6b4", "9632": "\uac00\uc2a4, \ubd84\uc9c4 \ubc29\ud3ed\uad6c\uc870", "9633": "\uacf5\uae30\uc5c5 \ud639\uc740 \ub300\uae30\uc5c5", "9634": "\ub300\ud559", "9635": "\ucc44\uc6a9\uba74\uc811\uad00", "9636": "\uacbd\ub0a8 \ud558\ub3d9\ub179\ucc28\uc5f0\uad6c\uc18c", "9637": "\uc190\uae08\uc0b0\uc785 \ud2b9\ub840\uc81c\ub3c4", "9638": "\ub77c\uc774\uc120\uc2a4 \ube44\uc6a9 \uc808\uc57d (\uc5f0\uac04 31\ub9cc$) -\uac1c\ubc1c\uc2dc\uac04 : 50%\ub2e8\ucd95", "9639": "empty", "9640": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0", "9641": "1972", "9642": "empty", "9643": "75\uc810", "9644": "\ucd9c\uc790 \ucd1d\uc561\uc81c \uc801\uc6a9\ubc30\uc81c", "9645": "\u321c\uc54c\uc564\uc5d0\uc2a4\ub7a9", "9646": "\ubd80\uc0b0\ub514\uc790\uc778\uc13c\ud130", "9647": "empty", "9648": "\uad00\uc138 \ubbf8\ubd80\uacfc \ub300\uc0c1 \uc81c\ud488\uc5d0 \uc801\uc6a9\ub418\ub358 \uc218\ucd9c\uad00\uc138 \uba74\uc81c", "9649": "\uc131\uacf5\uc0ac\ub840\ubcf4\uace0\ub300\ud68c", "9650": "\uc548\uc804\uad00\ub9ac \ud601\uc2e0\uc5f0\uad6c\ud68c", "9651": "\uad6d\ubd80\uc555\ucc29\ud68c\uc804\uc2dd \uc811\ucc29\uc81c \ud5e8\ucf08\ucf54\ub9ac\uc544 \ub530\uac1c\ud615\ubc00\ud3d0\uc7a5\uce58", "9652": "\uc54c\ucf54\uc62c", "9653": "\ud22c\uc790\uc815\ucc45\uacfc\uc7a5", "9654": "41.9%", "9655": "\uc9c0\uc5f4\uc774\uc6a9\uac80\ud1a0\uc11c", "9656": "\ub0a9", "9657": "76\uac1c", "9658": "\uc5f0\uc0c9\uc131", "9659": "20\uba85", "9660": "\ucd5c\uc18c 2\uc8fc\uc774\uc0c1", "9661": "14.7%", "9662": "186\uc885", "9663": "\uc720\ud615 1", "9664": "\uc9c0\uc2dd\uacbd\uc81c\ubd80\uc7a5\uad00 \ud45c\ucc3d", "9665": "empty", "9666": "\uc11c\uac15\ub300\ud559\uad50", "9667": "\ud55c\uad6dIC\uce74\ub4dc\uc5f0\uad6c\uc870\ud569", "9668": "\uc11c\uc6b8", "9669": "\uc0dd\uccb4", "9670": "\ubc18\ub3c4\uccb4, \uba40\ud2f0\ubbf8\ub514\uc5b4\ucee8\ud150\uce20, \uc9c0\uc2dd\uae30\ubc18\uc11c\ube44\uc2a4 \ub4f1", "9671": "\uc0ac\uc774\ubc84\uc804\uc2dc\ud68c", "9672": "\uacbd\ubd81\ub300", "9673": "\ud734\uc2a4\ud134 \ud574\uc591\ubc15\ub78c\ud68c", "9674": "\ub514\uc790\uc778\uc9c4\ud765\uc6d0 \uc81c\uc8fc\ub3c4\uccad", "9675": "\uc0bc\uc131\uc804\uc790(\uc8fc)", "9676": "empty", "9677": "empty", "9678": "\uc0b0\uc5c5\uc815\ucc45\uad6d", "9679": "\uacb0\uc0b0 \uc0c1\uacc4\uc808\ucc28 \uac04\uc18c\ud654", "9680": "\uc77c\ub9ac\ub178\uc774 \uc8fc \uc2dc\uce74\uace0 \ud48d\ub825 \ub2e8\uc9c0 \uc2dc\ucc30", "9681": "empty", "9682": "\ub514\uc790\uc778\uacbd\uc601\uc774\ub150 \ubc0f \uc804\ub7b5", "9683": "\uc18c\ube44\uc790 \uae30\ubcf8\ubc95 \uc81c12\uc870", "9684": "\ud55c\uad6d\uc778\uc815\uc9c0\uc6d0\uc13c\ud130", "9685": "\uc735\ud569\ud615 \uc758\uc0ac\uacfc\ud559\uc790", "9686": "\uacfc\ud0dc\ub8cc", "9687": "empty", "9688": "\ub9c8\uc0b0\uc790\uc720\ubb34\uc5ed\uc9c0\uc5ed", "9689": "\uacc4\uc7a5", "9690": "\ubb34\uac01\ubcf8\uc18c\ubc29\ud6c8\ub828 \ud604\uc7a5 \ud6c8\ub828\ud3c9\uac00 \uc2dc\uc2a4\ud15c \uac1c\ubc1c", "9691": "5\uba85", "9692": "\uacbd\uae30 TP \uacbd\uae30, \uac15\uc6d0", "9693": "\ub300\ucd9c\ube44\uc728 80% \uc81c\ud55c\uaddc\uc815", "9694": "\uae30\uc220\ud2b9\uc131", "9695": "empty", "9696": "\ud654\uc131", "9697": "empty", "9698": "LG\uc804\uc790 G2\ud734\ub300\ud3f0", "9699": "\uc9c0\ubb38\uc778\uc2dd", "9700": "\uc6b8\uc0b0\ub300, \ubd80\uacbd\ub300", "9701": "empty", "9702": "KOTRA \uace0\uac1d\uc11c\ube44\uc2a4\uae30\ud68d\uc2e4\uc7a5", "9703": "2009\ub144~2017\ub144", "9704": "16\uac74", "9705": "\uc2b9\uc18c", "9706": "67dbA\uc774\ud558", "9707": "\ud569\uc131\uc11d\uc720 \uc804\ud658\uae30\uc220", "9708": "empty", "9709": "\uc11d\ud0c4", "9710": "1\uc5b5\uc6d0", "9711": "12\ubd80", "9712": "150\uc5b5 \uc6d0", "9713": "\ucf54\uc624\ub871 \uc0dd\uba85\uacfc\ud559", "9714": "\uc778\ub3c4\ub124\uc2dc\uc544 \ubc31\uc0c1\uc9c0 \ubc18\ub364\ud551", "9715": "2018\ub144", "9716": "\ud669\uc870 \uadfc\uc815\ud6c8\uc7a5", "9717": "\uc804\uc0ac\ucc28\uc6d0", "9718": "\uacf5\ub85c\ubd80\ubb38", "9719": "(\uc8fc)\ud604\ub300\uc911\uacf5\uc5c5", "9720": "\ud2f0\uc288\uc9c4", "9721": "\ubbf8\uad6d", "9722": "12\uc810", "9723": "5,000\ub9cc\uc6d0\uc774\uc0c1", "9724": "\uace0\uc704\ud5d8\ud615", "9725": "PSK\ud68c\uc758\uc2e4", "9726": "empty", "9727": "\ubc14\uc138\ub098\ub974\uccb4\uc81c", "9728": "(\uc8fc)\uc815\uc544\uc720\uc555", "9729": "\uceec\ub7ec \ubc0f \ud22c\uba85 \ud2b9\uc131\uc73c\ub85c \uac74\ubb3c \uc678\uc7a5 BIPV\uc6a9 \ud0dc\uc591\uc804\uc9c0 \uc2dc\uc2a4\ud15c \uc2dc\uc7a5 \uac1c\ucc99", "9730": "empty", "9731": "1.2\uba85", "9732": "15.9%", "9733": "90\uac1c\uc0ac", "9734": "11:10-11:15", "9735": "\uc5d0\ub108\uc9c0", "9736": "\uc758\ub8cc\uc7ac\ud65c", "9737": "empty", "9738": "\uc77c\ubc18\ud22c\uc790\uc790\uc758 \uc2e0\ub8b0 \ud68c\ubcf5\uc744 \uc704\ud55c \uacfc\uc81c", "9739": "162\uc5b5\uc6d0", "9740": "empty", "9741": "\uc11d\ubc15\uc0ac", "9742": "\uc218\ub3c4\uae30\uc790\uc7ac\uc758 \uc704\uc0dd\uc548\uc804 \uc778\uc99d\uc81c\ub3c4 \ub3c4\uc785", "9743": "empty", "9744": "empty", "9745": "empty", "9746": "\uc548\uc804\uaddc\uc81c \uc704\uc8fc", "9747": "empty", "9748": "3\ub144", "9749": "9013.20-0000", "9750": "\uc57d 150\uc5b5", "9751": "\ud574\uc591\uc2ec\uce35\uc218 \uc5d0\ub108\uc9c0 \uc774\uc6a9\uae30\uc220 \uae30\ud68d\uc5f0\uad6c", "9752": "\uc800\uc555 \uc804\ub825\uc120\ud1b5\uc2e0 \uc2dc\uc2a4\ud15c\uae30\uc220", "9753": "\ud22c\uc790\uc720\uce58", "9754": "empty", "9755": "Price NGO", "9756": "\ub9ac\ub205\uc2a4 \uac00\uc0c1\ud654 \uae30\uc220\uac1c\ubc1c", "9757": "\ud050\ub374\ucf54", "9758": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0\uc7a5", "9759": "\uc0dd\ud0dc\uc6a9\uc218\ud655\ubcf4 \ubc0f \uc218\uc9c8\uc815\ud654\uae30\uc220", "9760": "\uc790\uac00\ubc1c\uc804\uc124\ube44 \ub610\ub294 \ucd95\uc804\uc9c0\uc124\ube44", "9761": "\ub300\uc804\ucee8\ubca4\uc158\uc13c\ud130", "9762": "\ub300\uba74\uba74\uc811 \uc870\uc0ac", "9763": "IEC 62304", "9764": "\ubc30\uc804\uc120\ub85c \ud3f4\ub9ac\ub9c8 \ub77c\uc778\ud3ec\uc2a4\ud2b8 \uc560\uc790", "9765": "\uae00\ub85c\ubc8c \ub9ac\ub354\uc2ed \uc81c\uace0", "9766": "empty", "9767": "\u318d\uacbd\ub825\ub2e8\uc808 \uc5ec\uc131", "9768": "1\ub2e8\uacc4", "9769": "19\uac74 39\uac74", "9770": "\ud488\ubaa9\u00b7\uae30\uc220 \ubd84\uc57c", "9771": "\uc218\ucd9c\ubcf4\ud5d8 \uacf5\uc0ac (\uc5f0\uae30\uae08\uc6b4\uc6a9)", "9772": "\uc18c", "9773": "empty", "9774": "\uc9c0\uacbd\ubd80", "9775": "2000. 10. 21.", "9776": "\uacbd\ud76c\ub300", "9777": "\uae00\ub85c\ubc8c \ubc14\uc774\uc624\uc758\uc57d\ud488 \uc0dd\uc0b0\u2027\ubb3c\ub958 \ud5c8\ube0c", "9778": "empty", "9779": "(\uc0ac)\ud55c\uad6d\ubb34\uc5ed\ud611\ud68c", "9780": "\ub364\ud551\ubc29\uc9c0 \uad00\uc138 \ubd80\uacfc", "9781": "2009\ub144", "9782": "\uc815\ubcf4\ubcf4\ud638", "9783": "\uc774\ube44\uc778\ud6c4\uacfc \uc9c4\ub8cc\uc7a5\uce58", "9784": "\uba39\ub294\uc0d8\ubb3c \ud488\uc9c8\uad00\ub9ac \uae30\ubc18 \uad6c\ucd95", "9785": "empty", "9786": "\ub300\uc0c1", "9787": "95%", "9788": "\uc774\ub77c\ud06c", "9789": "empty", "9790": "\ud658\uacbd\uacbd\uc601", "9791": "\uc0b0\ud559\uc5f0\uacc4 \ub85c\ubd07\uc5f0\uad6c\uc13c\ud130", "9792": "\uc0bc\uc131\uc5d0\ubc84\ub79c\ub4dc", "9793": "2025", "9794": "\ud589\uc0ac \ube0c\ub9ac\ud551", "9795": "empty", "9796": "37 \ud300", "9797": "\ucd1d 2\ub144 \uc774\ub0b4", "9798": "\ucc28\ubcc4\ud654\ub41c \uace0\uac00\uc81c\ud488\uc758 \ub2e4\ud488\uc885 \uc18c\ub7c9\uc0dd\uc0b0\uccb4\uc81c\ub85c\uc758 \uad6c\uc870\uac1c\uc120", "9799": "154\uac1c", "9800": "\uc1a1\ubc30\uc804 \uc804\uae30\uc0b0\uc5c5 \ubd84\uc57c \ucca8\ub2e8 \uae30\uc220 \uc18c\uac1c \ubc0f \uc0ac\uc5c5\ud654 \uc804\ub9dd", "9801": "empty", "9802": "\u2218\ud488\uc9c8\uacbd\uc601\uc0c1", "9803": "\uc804\ub0a8", "9804": "\uc2a4\ub9c8\ud2b8\ud648", "9805": "\ucf54\uc544\ub85c\uc9c1", "9806": "empty", "9807": "\uc5ec\ub984\ucca0", "9808": "empty", "9809": "\ud50c\ub79c\ud2b8\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "9810": "empty", "9811": "90%", "9812": "2017.5.31.(\uc218)", "9813": "Coex 104\u00b7105\ud638", "9814": "2013\ub144\ub3c4", "9815": "KOTRA", "9816": "40\uac1c\uc0ac 43\ubd80\uc2a4", "9817": "2000\uc5b5\ub2ec\ub7ec", "9818": "empty", "9819": "\uacbd\ud76c\ub300 \ub77c\uc628\ubb34\uc5ed\ud300", "9820": "9.0\uac1c\uc6d4", "9821": "\uae30\ud68d", "9822": "\ud601\uc2e0\uc5ed\ub7c9, \uadfc\ub85c\ud658\uacbd, \ub178\ud6c4\ub3c4 \ub4f1", "9823": "\uc77c\ubcf8 \ub9c8\uce20\uc2dc\ud0c0", "9824": "\ubaa9\uac78\uc774, \ubc18\uc9c0, \uc218\uc800, \uadf8\ubc16\uc758 \uadc0\uae08\uc18d\uac00\uacf5\uc0c1\ud488", "9825": "empty", "9826": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "9827": "\ub300\uae30\uc5c5", "9828": "\ub9e45\ub144", "9829": "LG\ud654\ud559", "9830": "\ubc18\ub3c4\uccb4", "9831": "7\uc77c", "9832": "\uc5d0\uc2a4\uc544\uc774\ud2f0(\uc8fc)", "9833": "800kV", "9834": "\uc6b4\ub3d9\uc2dc\uc124", "9835": "300\uac1c", "9836": "\ud398\uc774\ud37c\ud30c\uc77c\ub7ff \ub9cc\ub4e4\uc5b4 \ub0a0\ub9ac\uae30", "9837": "8.3%", "9838": "\uc11c\uc6b8 \uad6c\ub85c\uad6c \ub514\uc9c0\ud138\ub85c 32\uae3829", "9839": "5.22.", "9840": "\uc218\ucd9c/\uad6c\ub9e4 \uc0c1\ub2f4", "9841": "\uc9d1\ub2e8\uc5d0\ub108\uc9c0\uacf5\uae09\uc0ac\uc5c5", "9842": "\ud611\uc57d\ub0b4\uc6a9\uc758 \ucda9\uc2e4\ub3c4", "9843": "\uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "9844": "77.8%", "9845": "empty", "9846": "empty", "9847": "empty", "9848": "COEX", "9849": "\u65b0\uc0b0\uc5c5 \uc721\uc131", "9850": "100\uff5e130", "9851": "LG \ub514\uc2a4\ud50c\ub808\uc774", "9852": "empty", "9853": "\ud574\uc678\uc2dc\uc7a5\uc815\ubcf4", "9854": "\ucd9c\uc785\uad6d\uad00\ub9ac\ubc95\uc5d0 \uad00\ud55c \ud2b9\ub840", "9855": "\ub300\ud615", "9856": "102\uba85", "9857": "25\uba85", "9858": "empty", "9859": "\uc9c0\uc2dd\uc0b0\uc5c5\uc13c\ud130", "9860": "\uc0ac\uc5c5\uc131", "9861": "\ub179\uc0c9\uc131\uc7a5", "9862": "709,531\u33a1", "9863": "\ub514\uc2a4\ud50c\ub808\uc774\uc5f0\uad6c\uc870\ud569", "9864": "\ud654\ud559", "9865": "\ubc29\uc0ac\uc120 \uc0b0\uc5c5 \uc751\uc6a9 \uae30\uc220", "9866": "70\uc5b5\uc6d0", "9867": "empty", "9868": "\uc9c0\uacbd\ubd80", "9869": "\ud074\ub9b0\ub514\uc824\ucc28", "9870": "\uac15\uc6d0", "9871": "\uc911\uace0\uc790\ub3d9\ucc28\ub9e4\ub9e4 \uc11c\ube44\uc2a4 \uc6b4\uc6a9\uccb4\uacc4", "9872": "\ubb34\uae30 \ubc0f \ucd1d\ud3ec\ud0c4 \ubd80\ud488, \uae08\uc18d\ud30c\uc2a4\ub108 \ubc0f \uc2a4\ud504\ub9c1, \uae08\uc18d \ub2e8\uc870\uc81c\ud488 \ub4f1", "9873": "\uc18c\ud615", "9874": "\uac1c\ubc1c \ub2e8\uacc4", "9875": "\uac1c\ubc1c \ubc0f \uc0dd\uc0b0\ub2e8\uacc4", "9876": "\uc804\uc790\ubc18\ub3c4\uccb4", "9877": "FCEV", "9878": "\ucd5c\ub300 5\ub144\uc774\ud558 \uc9d5\uc5ed \ub610\ub294 5\ucc9c\ub9cc\uc6d0 \uc774\ud558 \ubc8c\uae08 \ub4f1", "9879": "\uacbd\uc0c1\ub300", "9880": "empty", "9881": "\ud55c\uc804\uc5f0\ub8cc", "9882": "273\uc5b5\uc6d0", "9883": "\uc548\uc0b0\ub3c4\uae08\ud611\ub3d9\ud654\ub2e8\uc9c0", "9884": "\ud68c\uc218 \uac00\ub2a5\ud560 \uac83", "9885": "empty", "9886": "\uc0b0\uc5c5\ub2e8\uc9c0\ud615 \uacf5\ub3d9 \uc9c1\uc7a5 \uc5b4\ub9b0\uc774\uc9d1", "9887": "empty", "9888": "empty", "9889": "13.6 14.7", "9890": "\ucd95\uc0ac, \ud3ec\uc0c1, \ud37c\ud3ec\uba3c\uc2a4, \ub9cc\ucc2c", "9891": "\ud611\uc18c\uc9c0\uc5ed \uc791\uc5c5 \ubd88\uac00\ub2a5", "9892": "1,000\uc5b5\uc6d0", "9893": "16\uac1c", "9894": "\u300c\ucd95\uc0b0\ubc95\u300d\uc5d0 \ub530\ub978 \ucd95\uc0b0\uc5c5\ud5c8\uac00(\ub4f1\ub85d)\uc99d\uc744 \ub4dd\ud55c \uc790", "9895": "2\u223c4\uc778", "9896": "\uc5ec\uc218", "9897": "IT\uc5c5\uc885, \uc12c\uc720, \uc804\uae30\uae30\uacc4, \uc6b4\uc1a1\uc7a5\ube44", "9898": "empty", "9899": "\uc720\uc9c4\uc12c\uc720(\uc8fc)", "9900": "empty", "9901": "\ub77c\uba74, \uacfc\uc790, \ube59\uacfc\ub958, \uc544\uc774\uc2a4\ud06c\ub9bc\ub958", "9902": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0", "9903": "\ub300\uae30\uc5c5", "9904": "\uc870\ubbf8\uae40 \uc81c\uc870", "9905": "empty", "9906": "\uc870\uae30\uc644\ub8cc \uc778\uc13c\ud2f0\ube0c", "9907": "\uc5d0\ud2f8\ub80c, SM, PX, \ud504\ub85c\ud544\ub80c, LPG", "9908": "\ub77c\uba74, \uacfc\uc790, \ube59\uacfc\ub958, \uc544\uc774\uc2a4\ud06c\ub9bc\ub958", "9909": "(\uc8fc)\uc544\uc774\uc62c\ub9ac", "9910": "empty", "9911": "\ud50c\ub77c\uc988\ub9c8 \ubb3c\uc131", "9912": "\uc804\uc801\ub3d9\uc758\uc11c \uc791\uc131 \ubc0f\uac70\ubd80\uc790 \uc804\ud658\ubc30\uce58", "9913": "260", "9914": "OpenLAB \uc5f0\uacc4\ud615", "9915": "\ubbf8\uc74c \uc77c\ubc18\uc0b0\ub2e8", "9916": "\ud2b9\ud5c8\uccad \uccad\uc7a5", "9917": "\uc758\uc0ac\uacb0\uc815 \uc2e0\uc18d\ud654", "9918": "\ud55c\uad6d", "9919": "6,130\uc5b5\ubd88", "9920": "\ub450\uc0b0\uc778\ud504\ub77c\ucf54\uc5b4", "9921": "\uc73c\ub738\ub514\uc790\uc778\ud559\uad50 \uc9c0\ub3c4\uad50\uc0ac\uc0c1", "9922": "129\uac1c\uc0ac", "9923": "\uc9c0\uacbd\ubd80", "9924": "\uae30\ub2a5\uc810\uc218", "9925": "\uc804\ub3d9\uae30", "9926": "empty", "9927": "\ud0dc\uc591\uad11", "9928": "48%", "9929": "7,324\uac74", "9930": "empty", "9931": "\uc131\ub0a8\ub3c4\uc2dc \uac1c\ubc1c\uacf5\uc0ac", "9932": "empty", "9933": "LG\uc804\uc790", "9934": "100\uba85", "9935": "E-mart", "9936": "empty", "9937": "250\uba85", "9938": "\uc624\uac00\ud0a4\uc815\uacf5 \uc8fc\uc2dd\ud68c\uc0ac", "9939": "empty", "9940": "\uc0ac\uc5c5\ube44 \ud3b8\uc131\uc758 \uc801\uc815\uc131", "9941": "\uc804\uae30\uc5f0", "9942": "\ub0a8\uc544\uacf5", "9943": "1\uac1c \uc5c5\uc885", "9944": "\uaddc\uc81c\ud569\ub9ac\ud654, \uc548\uc804\uc11c\ube44\uc2a4 \ud655\ucda9", "9945": "\uc8fc\uc131 \uc5d4\uc9c0\ub2c8\uc5b4\ub9c1(\uc8fc)", "9946": "\uc778\uac74\ube44 \ubc0f \uae30\uc220\ub8cc", "9947": "\ud604\uc9c0\uae30\uc5c5 \uc120\uc9c4 \uae30\uc220\uacbd\uc601 \uc9c0\uc6d0\uc0ac\uc5c5", "9948": "\uc804\uc790\ud654\ud3d0 \ubd84\uacfc", "9949": "\ub0a8\uc544\uacf5, \ub3c4\ubbf8\ub2c8\uce74, \ubca0\ud2b8\ub0a8, \ud0c4\uc790\ub2c8\uc544", "9950": "\uc74c\uc131\uacfc \ud65c\ub3d9 \ub370\uc774\ud130", "9951": "\uce74\ub4dc\ubbb4", "9952": "2%\uc774\uc0c1", "9953": "\uad6d\ub0b4\u00b7\uc678 ECA(Export Credit Agency) \ubc0f \uc0c1\uc5c5\uc740\ud589", "9954": "empty", "9955": "\uc124\uce58\ube44 \uc77c\ubd80", "9956": "140\uba85", "9957": "\ubd80\uacbd\ub300\ud559\uad50 \ub300\ud559\uadf9\uc7a5", "9958": "13:00\uff5e14:00", "9959": "\u25b8", "9960": "\ud3ec\ud56d \uc0b0\ub2e8", "9961": "\ud559\uc2b5\uc774\ub825 \ud1b5\ud569\uad00\ub9ac \uc2dc\uc2a4\ud15c", "9962": "empty", "9963": "empty", "9964": "\uc870\uc778\uc2a4\ub2f7\ucef4", "9965": "2018\ub144\ud3d0\uc9c0", "9966": "4\uac00\uc9c0", "9967": "200\uc774\ud558", "9968": "\uccb4\uc678\uc9c4\ub2e8\uae30\uae30", "9969": "5,969\uc5b5\uc6d0", "9970": "\uae08\uc735\uc704\uc6d0\ud68c \uae00\ub85c\ubc8c\uae08\uc735\ud654", "9971": "\uc2e0\uc5d0\ub108\uc9c0, \uc804\uc790", "9972": "2,100\uc5b5\uc6d0", "9973": "empty", "9974": "empty", "9975": "100\uc5b5 \ub2ec\ub7ec \uc774\uc0c1", "9976": "IT\uc11c\ube44\uc2a4\uc5c5, \uac8c\uc784\uc0b0\uc5c5, \ub514\uc790\uc778\uc0b0\uc5c5", "9977": "empty", "9978": "\uc2e0\uc0b0\uc5c5", "9979": "87% \uc774\uc0c1", "9980": "11\uac1c\uad6d", "9981": "\ud0c0\uc6cc", "9982": "\ud6a8\uc728\uad00\ub9ac\uae30\uc790\uc7ac \ube44\ub300\uc0c1 \ud574\ub2f9\uc5ec\ubd80", "9983": "10\uac1c\uad6d", "9984": "\uac00\uc815\uc6a9 \uc804\uae30\uae30\uae30, \ucf00\uc774\ube14, \uc804\ub3d9\uae30, \ubc1c\uc804\uae30 \ub4f1", "9985": "\uc911\uc559\ub300, \uae08\uc624\uacf5\ub300, \ucda9\ubd81\ub300", "9986": "empty", "9987": "\uc218\uc18c \ucd94\ucd9c \uc2dc\uc2a4\ud15c \uad6c\ucd95 \ubc0f \uc2e4\uc99d", "9988": "85%", "9989": "LG\ud654\ud559", "9990": "empty", "9991": "\ub300\uc2e0\uc815\uacf5(\uc8fc)", "9992": "10\ub300", "9993": "\uacbd\uacc4\ub2e8\uacc4 \uc9c4\uc785\uc2dc", "9994": "\ud718\ubc1c\uc720, \uacbd\uc720, \uc81c\ud2b8\uc720 \ubc0f \ub4f1\uc720, \ub098\ud504\ud0c0, \uc911\uc720, \uc724\ud65c\uc720", "9995": "bexco\uc804\uc2dc\uc7a5", "9996": "empty", "9997": "\uc218\ucd9c\uc6a9 \uc11d\ud0c4\uac00\uc2a4\ud654 \ubcf5\ud569\ubc1c\uc804 \uc2dc\uc2a4\ud15c(IGCC)", "9998": "\ub3c5\uc77c", "9999": "IT\uad50\uc721 \ubc0f \ub2f4\ub2f9\uc9c1\uc6d0 \ub2a5\ub825\uac1c\ubc1c \ud604\ud669", "10000": "\uc11d\ud0d1\uc0b0\uc5c5\ud6c8\uc7a5", "10001": "empty", "10002": "ASP", "10003": "\uc57d\ubb3c\uc8fc\uc785\uc7a5\uce58", "10004": "\uc911\uc18c\uae30\uc5c5\uccad", "10005": "empty", "10006": "\ubc31\ud654\uc810", "10007": "\uc8fc\ubc30\uad00 \uc57d 184km \ubc0f \uacf5\uae09\uad00\ub9ac\uc18c \uac74\uc124", "10008": "2016\u223c2017 2018", "10009": "29\uc870\uc6d0", "10010": "20\uff5e45%", "10011": "\ud1b5\uc0c1\ud611\ub825\uad6d\uc7a5", "10012": "200kWh\uc774\ud558", "10013": "empty", "10014": "600 kg \ucd08\uacfc", "10015": "\ub3c4\uc2ec\uc9c0 \uace0\uc555 \ub3c4\uc2dc\uac00\uc2a4 \ubc30\uad00\uc5d0 \ub300\ud55c \uac74\uc804\uc131\uad00\ub9ac\ud504\ub85c\uadf8\ub7a8(IMP) \uad6c\ucd95\u2024\uc218\ud589", "10016": "empty", "10017": "3\ub2e8\uacc4", "10018": "77.8%", "10019": "\uc2a4\ub9c8\ud2b8\ubc34\ub4dc \ud65c\uc6a9 \uc218\uc6a9\uc790 \uad00\uc81c\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "10020": "30\ub9cc\uc6d0", "10021": "\uc7a5\uae30\uc218\uae09\uc804\ub9dd\uc5d0 \ub530\ub978 \uc5f0\ud0c4\uac00\uaca9 \ud604\uc2e4\ud654 \ubc29\uc548", "10022": "\uad6d\uc81c\uc720\uac00", "10023": "empty", "10024": "\ubc18\ub3c4\uccb4", "10025": "\ud55c\uad6d\uacf5\ud56d\uacf5\uc0ac", "10026": "\uc218\uacbd\uc7ac\ubc30\uc2dc\uc2a4\ud15c", "10027": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0\uc6d0", "10028": "\ub204\ub9ac\ud154\ub808\ucf64", "10029": "2\ud300", "10030": "LG\uc720\ud50c\ub7ec\uc2a4", "10031": "empty", "10032": "\ud55c\ub958\ubb38\ud654 \uccb4\ud5d8 \ud589\uc0ac", "10033": "20\uac1c", "10034": "1\ub9cc\uba85", "10035": "\ubbf8\ub798\uc218\uc694\ucc3d\ucd9c\uae30\uc220", "10036": "empty", "10037": "\uc2a4\ub9c8\ud2b8 \uac00\uc804", "10038": "11\uc778", "10039": "3/4 \uc774\ub0b4", "10040": "empty", "10041": "\uc790\ub3d9\ucc28 \uace0\ud488\uc9c8 \ud45c\uba74\uc18c\uc7ac\uc6a9 \uce5c\ud658\uacbd \uc18c\uc7ac", "10042": "0", "10043": "\uacf5\uacf5\uc758 \uc548\uc804\uc131 \ud655\ubcf4 \ubc0f \uc18c\ube44\uc790 \ubcf4\ud638", "10044": "empty", "10045": "\uacf5\ud56d\uc548\ub0b4\ub85c\ubd07", "10046": "\uc218\ucd9c\uc785\uc740\ud589", "10047": "4,328\ubc31\ub9cc\ubd88", "10048": "32", "10049": "empty", "10050": "\uc77c\ubc18\uc6a9 \uc804\ub825\ub7c9\uc694\uae08 \ub2e8\uac00", "10051": "\uac10\uc0ac\uad00\uc2e4", "10052": "50\uac1c", "10053": "\uc815\uae30 \uc138\ubb34\uc870\uc0ac \uba74\uc81c\ub300\uc0c1\uae30\uc5c5 \uc120\uc815\uc2dc \ud65c\uc6a9", "10054": "\ub2e8\uc7a5", "10055": "\ubb3c\ub958 \ube44\uc6a9\uc744 \uc5f0\uac04 9,000\uc5b5\uc6d0 \uc808\uac10", "10056": "\uc815\ubcf4\ud1b5\uc2e0\ubd80\ud488", "10057": "21\uac74", "10058": "24\uac74", "10059": "\ub18d\ub9bc\ubd80", "10060": "\ub098\ub178\uc735\ud569 \uc0c1\uc6a9\ud654 \ud50c\ub7ab\ud3fc \ud65c\uc6a9\uc0ac\uc5c5", "10061": "\uad11\uc8fc\uad11\uc5ed\uc2dc", "10062": "KOTRA", "10063": "\uc2e4\uc801\uae09", "10064": "\ub300\ud559 \ubc0f \ub300\ud559\uc6d0 \uc878\uc5c5 \uc608\uc815\uc790", "10065": "\uae30\uc220\ud45c\uc900\uc6d0", "10066": "\ub974\ub124\uc0c1\uc2a4\ud638\ud154", "10067": "\uc9c0\ub2a5\ud615 \ud734\uba38\ub178\uc774\ub4dc", "10068": "\uc544\uc774\uc2e0 \uc815\uae30\uc758 \uac00\ub3d9\uc911\ub2e8\uc5d0 \ub530\ub978 \uc790\ub3d9\ucc28 \ubd80\ud488 \uc870\ub2ec \ucc28\uc9c8", "10069": "empty", "10070": "2017.1.19", "10071": "\uc911\uad6d", "10072": "8\ucc9c\uc6d0", "10073": "\ud14c\ub2c8\uc2a4 \ub77c\ucf13, \ubc30\ub4dc\ubbfc\ud134 \ub77c\ucf13, \uc548\uacbd\ud14c, \uc120\uae00\ub77c\uc2a4, \uc6b0\uc0b0\u00b7\uc591\uc0b0, \uac00\uad6c", "10074": "empty", "10075": "10%", "10076": "\ub85c\ubd07\ud300", "10077": "\ud718\ubc1c\uc720, \uacbd\uc720, \uc81c\ud2b8\uc720 \ubc0f \ub4f1\uc720, \ub098\ud504\ud0c0, \uc911\uc720, \uc724\ud65c\uc720", "10078": "\uc11c\uc6b8\uacfc\uae30\ub300", "10079": "empty", "10080": "\uc790\ub3d9\ucc28\ubd80\ud488\uc5f0\uad6c\uc6d0", "10081": "\uac74\uac15\uad00\ub9ac \uc2e0\ubc1c", "10082": "\uc2dc\uc7a5 \ubaa8\ub2c8\ud130\ub9c1", "10083": "125.5\uc5b5\uc6d0", "10084": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "10085": "\ud55c\uad6d\uc11d\uc720\uacf5\uc0ac", "10086": "\uc81c\ud488 \uc720\ud574\ub3c4", "10087": "empty", "10088": "\ubaa8\uc158\ud0a4\ud2b8\ub97c \uc774\uc6a9\ud55c \ub77c\uc778\ud2b8\ub808\uc774\uc2f1 \uae30\ubc18 \ubbf8\uc158", "10089": "\uae30\uc220\ubcf4\uc99d\uae30\uae08", "10090": "\uc2e0\uaddc\uc81c \uc911\uae08\uc18d \ubd84\uc11d\uc6a9 \ud3f4\ub9ac\uc5d0\ud2f8\ub80c \uc0c1\uc6a9 \ud45c\uc900\ubb3c\uc9c8 \uac1c\ubc1c \ubc0f \ubcf4\uae09", "10091": "41\uac1c", "10092": "\ub9ac\ud2ac \uc774\ucc28\uc804\uc9c0 \uc0b0\uc5c5 \ub4f1", "10093": "\ubbf8\ub798\ubd80, \uc911\uae30\uccad", "10094": "\ubd88\ud569\uce58", "10095": "\ubc18\ub3c4\uccb4 \uac80\uc0ac \ud604\ubbf8\uacbd\uc6a9 \ub9c8\uc774\ud06c\ub85c \uc2a4\ucf00\uc77c\ub7ec \uc0c1\uc6a9\ud45c\uc900\ubb3c\uc9c8 \uac1c\ubc1c \ubc0f \ubcf4\uae09", "10096": "\uace0\uc2e0\uacf5\uc5c5\uc0ac", "10097": "SW \uc18c\uc591 \uad50\uc721 \u2022 SW Tool \ud65c\uc6a9\uc744 \ud1b5\ud55c SW\ucf54\ub529 \uc774\ud574", "10098": "\uc624\uc774\uc194\ub8e8\uc158", "10099": "\uc911\uc11c\ubd80 \uac1c\ubc1c", "10100": "16\uac74", "10101": "57.37%", "10102": "4\uac1c\ud300 14\uac1c\ud300 18\uac1c\ud300", "10103": "\uc218\uc131\uad6c\uccad", "10104": "2005\ub144 2006\ub144", "10105": "50% \ud5a5\uc0c1", "10106": "\uc0b0\uc5c5\ubd80, \uad6d\ud1a0\ubd80, \ubcf5\uc9c0\ubd80", "10107": "\uc57d 42\uc5b5 \ub2ec\ub7ec", "10108": "2012.12", "10109": "10\ubc31\ub9cc\uc6d0", "10110": "empty", "10111": "\ud328\uc158 \uc2a4\ud2b8\ub9bc \uc0ac\uc5c5", "10112": "3%", "10113": "50%", "10114": "\ud3ab\ud384\uc2a4", "10115": "\ub098\uc774\uc9c0\ub9ac\uc544", "10116": "\uc544\ud2b8\ucf10(\uc8fc)", "10117": "10,000\uba85", "10118": "\ube44\uc5d0\uc2a4\uba54\ub514\uce7c", "10119": "empty", "10120": "empty", "10121": "\ud504\ub85c\uc81d\ud2b8", "10122": "(\uc8fc) \uc18c\ud504\ud2b8\ub514\uc5d0\uc2a4\ud53c", "10123": "empty", "10124": "\uc0bc\uc131\uc804\uc790(\uc8fc) \uae30\ud765\uc0ac\uc5c5\uc7a5", "10125": "\ub3fc\uc9c0\uace0\uae30", "10126": "\uc9c0\uc5ed\uacbd\uc81c \ud65c\uc131\ud654", "10127": "150\uba85", "10128": "empty", "10129": "pH", "10130": "1~2\uac1c", "10131": "\uc2ec\ucc9c \ubd81\uacbd\ub3d9\uc778\ub2f9\uae30\uc220\uc720\ud55c\ud68c\uc0ac", "10132": "20", "10133": "\uc801\uc815 \uc720\uc9c0\uad00\ub9ac \uc608\uc0b0 \ud655\ubcf4 \ucd94\uc9c4", "10134": "empty", "10135": "empty", "10136": "empty", "10137": "ICT\uae30\uc220\uc744 \uc811\ubaa9\ud55c \ubaa8\ub4c8\ud615 \uce68\ub300 \ud50c\ub81b\ud3fc \uac1c\ubc1c", "10138": "\ub808\uc800", "10139": "\uc0b0\uc5c5\ubd80", "10140": "SETEC \uc81c1\uc804\uc2dc\uc7a5\ub0b4 \ucee8\uc124\ud305\uad00", "10141": "empty", "10142": "\uc815\ubd80 \uc138\uc158", "10143": "empty", "10144": "empty", "10145": "\ud574\uc678\ubb34\uc5ed\uad00\uc744 \ud1b5\ud574 \uacbd\uc7c1\ub3d9\ud5a5, \uc778\uc99d\u2027\uaddc\uaca9, \uc804\uc2dc\ud68c \ub4f1 \ud604\uc9c0\uc870\uc0ac", "10146": "\uae30\uc220", "10147": "(\uc8fc)\uc0bc\uc591\uc81c\ub125\uc2a4", "10148": "\ud55c\uad6d\uacfc \ud568\uaed8\ud558\ub294 \uacbd\uc81c\ubc1c\uc804 Shaping the Future with Korea", "10149": "\uc678\ud310\uc7ac", "10150": "\uc9c0\uc5ed\uac04 \uae00\ub85c\ubc8c\ud611\ub825\uc0ac\uc5c5", "10151": "200\ub9cc\uc6d0", "10152": "\uc0ac\uc6a9\uc790\ucde8\uae09\ubd80\uc8fc\uc758", "10153": "\uc6d0\uad11\ub300", "10154": "\uc9c0\uc2dd\uc11c\ube44\uc2a4", "10155": "\uc5f0\uc138\ub300", "10156": "\ud575\uc2ec\uae30\uc220 \uc120\uc810 \ubc0f \uc2dc\uc7a5\ucc3d\ucd9c", "10157": "\uc8fc\ud55c\uc601\uad6d\ub300\uc0ac\uad00", "10158": "empty", "10159": "8\uac1c", "10160": "\ubd80\uc7a5", "10161": "\uc0bc\uc131, NEC, Micron", "10162": "\uc815\ubd80", "10163": "\uad6c\ubbf8 \uc0b0\ub2e8", "10164": "\ud1b5\uacc4\uccad\uc7a5", "10165": "\uc0bc\uc131SDI\uc8fc\uc2dd\ud68c\uc0ac", "10166": "25\uac1c", "10167": "1,433\uc5b5\uc6d0", "10168": "\uacf5\uacf5\uc77c\uc790\ub9ac 81\ub9cc\uba85 \ud655\ucda9", "10169": "\uc1a1\ubc30\uc804 \uc804\uae30\uc0b0\uc5c5 \ubd84\uc57c \ucca8\ub2e8 \uae30\uc220 \uc18c\uac1c \ubc0f \uc0ac\uc5c5\ud654 \uc804\ub9dd", "10170": "\uc9c0\ub2a5\ud654 \uc0b0\uc5c5 \uc721\uc131\uc744 \ud575\uc2ec \uad6d\uac00\uc804\ub7b5\uc73c\ub85c \ucd94\uc9c4", "10171": "\u25e6\ud3ec\ud138 \uad6c\ucd95 \u25e69\uac1c \uae30\uac04\ub9dd\uc5d0 \ub300\ud55c \ub2e8\uc21c \ub9c1\ud06c \uc11c\ube44\uc2a4 \u25e6\uae30\uc5c5\uc790\ubb38\uc11c\ube44\uc2a4 \ubc0f e\ub7ec\ub2dd\uc11c\ube44\uc2a4\uc5c5\uccb4 \uc548\ub0b4", "10172": "\ud55c\uad6d\ud654\ud559\uc5f0\uad6c\uc6d0", "10173": "\uc774\uc9d1\ud2b8", "10174": "50%", "10175": "3D printing", "10176": "\uad11\ubb3c \ubd84\uc57c \uc591\uad6d \uae30\uc5c5\uc778\uac04 \ud22c\uc790\uac04\ub2f4\ud68c", "10177": "empty", "10178": "\uae00\ub85c\ubc8c \uae30\uc5c5\uad00", "10179": "\uac1c\ubc1c \uc81c\ud488\uc758 \uc591\uc0b0\uc131", "10180": "empty", "10181": "1.2%", "10182": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "10183": "\uc18c\ube44\uc7ac", "10184": "\ub514\uc790\uc778", "10185": "557\uac74", "10186": "\ubcf5\uac15\uacbd \uc218\uc220\ub85c\ubd07", "10187": "0.6%", "10188": "\uc18c\ube44\uc790 \uc804\ubb38\uac00", "10189": "70.7\uc5b5\uc6d0", "10190": "empty", "10191": "empty", "10192": "\ud034\uc988", "10193": "\uc6c5\uc9c4\ucf54\uc6e8\uc774", "10194": "\uacbd\uacc4\ub2e8\uacc4 \uc9c4\uc785\uc2dc", "10195": "\uc804\uacf5\uacbd\uc2dc\ub300\ud68c", "10196": "\uc790\ub9bd\ud615", "10197": "\ud574\uc6b4\ub300\uad6c\uccad\uc7a5", "10198": "empty", "10199": "\uc591\uadf9\ud65c\ubb3c\uc9c8, \uc74c\uadf9\ud65c\ubb3c\uc9c8, \uaca9\ub9ac\ub9c9", "10200": "empty", "10201": "\uaddc\uaca9\uc801\ud569 \uc5ec\ubd80 \uc2dc\ud5d8 \uace0\uc7a5\uc774 \ubc1c\uc0dd\ud560\ub54c\uae4c\uc9c0 \uc2dc\ud5d8", "10202": "\ud55c\uad6d\uacfc\ud559\uae30\uc220\uc6d0", "10203": "50\uac1c", "10204": "KOTRA", "10205": "\uc2dd\uc804\uacf5\uc5f0", "10206": "\ud22c\uc790\uc720\uce58\uc124\uba85\ud68c", "10207": "\ud65c\uc131\ud0c4\uc18c", "10208": "\uc9c0\uc5ed\ubc00\ucc29\ud615 \uc0ac\uc5c5", "10209": "\ub514\uc54c\ube44\ud30c\ud14d(\uc8fc)", "10210": "40%", "10211": "5,500\uc5b5\uc6d0", "10212": "\uc138\uc774\ud504\uac00\ub4dc\uc81c\ub3c4", "10213": "\ud604\ub300\uc790\ub3d9\ucc28", "10214": "\ub300\ubd88", "10215": "5\uac1c", "10216": "\uc790\ub3d9\ucc28 \uc0b0\uc5c5\ud611\ud68c 6\uce35 \uc911\ud68c\uc758\uc2e4", "10217": "\uc0dd\ubb3c\ud658\uacbd", "10218": "\uad11\uc8fc", "10219": "\uc57c\uc790\uc720 \ubd80\uc0b0\ubb3c", "10220": "9", "10221": "\ub300\uc804", "10222": "\uad6c\uc9c1\uc790\uc640 \uc911\uc18c\u2027\uc911\uacac\uae30\uc5c5\uac04\uc758 \uad6c\uc778\u2027\uad6c\uc9c1 \ubbf8\uc2a4\ub9e4\uce58 \ud574\uc18c", "10223": "empty", "10224": "\ud601\uc2e0\uc9c0\uc6d0\uacfc", "10225": "\u321c\uc5d4\ud2f0\ub85c\ubd07", "10226": "10,160\uc5b5\uc6d0", "10227": "\ubc29\uc1a1\ud1b5\uc2e0\uc704\uc6d0\ud68c", "10228": "empty", "10229": "\uc81c23\uc870 \uc81c\ub3c4\uc801 \uc7a5\uce58", "10230": "13\uac1c", "10231": "\uc2a4\ub9c8\ud2b8TV 2.0 \uc18c\ud504\ud2b8\uc6e8\uc5b4 \ud50c\ub7ab\ud3fc \uac1c\ubc1c", "10232": "empty", "10233": "\ub300\ud559\uc0dd,\ucde8\uc5c5\uc900\ube44\uc0dd", "10234": "\uc5d0\ub108\uc9c0 \ud615\ud3c9\uc131", "10235": "1,165\uac74", "10236": "empty", "10237": "\uc774\uac15\uc218 \ub300\ud45c", "10238": "empty", "10239": "empty", "10240": "\uc804\ubd81\ud14c\ud06c\ub178\ud30c\ud06c \ub300\uac15\ub2f9", "10241": "5\uc5b5\uc6d0 \uc774\uc0c1", "10242": "\uc9c4\uba85\ud1b5\uc2e0 \uc678 5\uac1c \uc5c5\uccb4", "10243": "\ubb3c\uc9c8 \uc120\uc5b8 \ud615\uc2dd", "10244": "empty", "10245": "\ubd80\uc0b0", "10246": "\uc870\ub9bd\uae08\uc18d\uc81c\ud488", "10247": "empty", "10248": "500\ud1a4", "10249": "\uad6d\uac00\ud45c\uc900\uccb4\uacc4 \uc120\uc9c4\ud654", "10250": "\uc870\ub9bd\uacf5\uc7a5 \uc124\ub9bd\uc9c0\uc6d0", "10251": "\ub514\uc9c0\ud138 \ud5ec\uc2a4\ucf00\uc5b4", "10252": "\ud1b5\ud569 \uad50\ud1b5\uc218\ub2e8\uc744 \uc774\uc6a9\ud55c LBS", "10253": "empty", "10254": "3\uba85", "10255": "\uad6d\uac00\uae30\uc220\ud45c\uc900\uc6d0", "10256": "\ub300\ud55c\ubbfc\uad6d \ud328\uc158\ub300\uc804 \ubcf8\uc120", "10257": "(\uc8fc)\ub2e4\ub9bc\ube44\uc804", "10258": "\uc77c\uc6a9\uc7a1\ud654", "10259": "\ud5dd\uac00\ub9ac", "10260": "\ub3c4\ub808\uc774, LPD \ub4f1 8\uac1c", "10261": "empty", "10262": "2\ub144 5\uc5b5", "10263": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80\uc7a5\uad00\uc0c1 \ubc0f 300\ub9cc\uc6d0", "10264": "empty", "10265": "\ubc1c\uc804\ud611\uc758\ud68c", "10266": "\ub3d9\uc544\ub300", "10267": "\uc784\uae08\uc778\uc0c1", "10268": "\uad50\uc721\ubd80", "10269": "\uae30\uc5c5, \ub300\ud559, \uc5f0\uad6c\uc18c", "10270": "empty", "10271": "\ucc3d\uc6d0 \ud14c\ud06c\ub178\ud30c\ud06c", "10272": "\ucd9c\uc5f0\uc5f0 \uae30\uc220\uc774\uc804\ub300\uc0c1 \uc120\uc815, \uc778\ub825\uc9c0\uc6d0", "10273": "empty", "10274": "empty", "10275": "RPS", "10276": "\uc790\ub3d9\ucc28 \ubd80\ud488", "10277": "\uc815\ucc30\ucc28\ub7c9\uc6a9 \uc800\uc18c\uc74c \uc5f0\ub8cc\uc804\uc9c0 \ucd94\uc9c4\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "10278": "\uaddc\uaca9\ubbf8\ub2ec, \ubbf8\ud45c\uc2dc \ubb3c\ud488 \ud310\ub9e4 \ub4f1", "10279": "empty", "10280": "\uc12c\uc720\ud328\uc158", "10281": "FTA\u00b7\uc218\ucd9c \uc5f0\uacc4 \ucee8\uc124\ud305, \ubb34\uc5ed\uc804\ubc18 \uc885\ud569\ucee8\uc124\ud305", "10282": "\ud55c\uad6d\uae30\uc220\uc13c\ud130 \uc720\uc131\uad00\uad11\ud638\ud154", "10283": "10.21%", "10284": "\ud53c\ud574 \uc6b0\ub824", "10285": "\u25b8\uc9c0\uc5ed \uc790\uc728 \uc9c0\uc6d0 \ud504\ub85c\uadf8\ub7a8\uc744 \ud1b5\ud55c \ucc28\ubcc4\ud654\ub41c \uae30\uc5c5\uc9c0\uc6d0", "10286": "empty", "10287": "300\uc810", "10288": "\ubc00\uc591\uc6a9\uc804 \ud2b9\ud654\ub2e8\uc9c0", "10289": "\uc804\ub825\uc120\ud1b5\uc2e0", "10290": "\ub300\uc6b0\uc815\ubcf4\uc2dc\uc2a4\ud15c \ucee8\uc18c\uc2dc\uc6c0", "10291": "\uac1c\uc778", "10292": "\uac74\uad6d\ub300\ud559\uad50", "10293": "\uacf5\uc9c0\uc0ac\ud56d, FTA \ub274\uc2a4, FTA \uc77c\uc815, FTA \ubc14\ub85c \uc54c\uae30", "10294": "\uacfc\uae30\uc815\ud1b5\ubd80", "10295": "\uccad\ubc14\uc9c0", "10296": "\uacf5\ub85c\ubd80\ubb38", "10297": "\ud50c\ub77c\uc988\ub9c8 \uc124\ube44 \uc9c4\ub2e8 \ubc0f \uc790\ub3d9 \uc81c\uc5b4 \uae30\uc220", "10298": "\uce84\ubcf4\ub514\uc544", "10299": "2\ub2e8\uacc4", "10300": "\ud154\ub808\ub9e4\ud2f1\uc2a4", "10301": "1,221.4\ubc31\ub9cc\ubd88", "10302": "\uad6d\ub9bd\ucd95\uc0b0\uacfc\ud559\uc6d0", "10303": "30\uc778", "10304": "2003. 7.30", "10305": "4\ucc28", "10306": "III-V Channel\uc744 \uc774\uc6a9\ud55c CMOS extension \uae30\uc220\uac1c\ubc1c", "10307": "\ubc18\ub3c4\uccb4", "10308": "16.8\uc5b5", "10309": "\uc218\ucd9c\uae30\uc5c5", "10310": "\uc2dc\uc911 \uc740\ud589", "10311": "5\ub144", "10312": "\uc11d\uc720\uacf5\uc0ac", "10313": "\ud504\ub77c\uc774\ub4dc,\uce74\ub2c8\ubc1c,K9", "10314": "5\uc778", "10315": "empty", "10316": "1995\ub144", "10317": "\ub300\ud1b5\ub839\ud45c\ucc3d", "10318": "\uc9c0\uc2dd\uacbd\uc81c\ubd84\uc57c \uc704\uae30 \ubc0f \uc7ac\ub09c\ub300\uc751 \ud6c8\ub828 \uc2e4\uc2dc \uacc4\ud68d", "10319": "\ud3b8\uc758\uc810", "10320": "2.5%", "10321": "5\ubc31\ub9cc\ubd88\ub85c \uc644\ud654", "10322": "205.9\ubc31\ub9ccB", "10323": "140\uc810", "10324": "\ud55c\uad6d\uac00\uc2a4\uacf5\uc0ac \ud3c9\ud0dd\uae30\uc9c0", "10325": "\ud55c\uad6d\uae30\uc220\uac70\ub798\uc18c \ucd9c\uc790\ube44\uc728", "10326": "\uccad\ub3c4 \ubc18\uc2dc\ub098\ub77c\ud2b9\uad6c", "10327": "\ubcf8\uad00 1\uce35 \ud658\ub2f4\uc7a5", "10328": "\uc6b0\ub9ac \ubd80 \uc5f0\uc554\uc2e4", "10329": "1,271\uba85", "10330": "\ud55c\uad6d\uac00\uc2a4\uc548\uc804\uacf5\uc0ac", "10331": "\ub18d\ub9bc\uc218\uc0b0\uc2dd\ud488\ubd80", "10332": "\uad00\uc545\uacbd\ucc30\uc11c", "10333": "empty", "10334": "\uc989\uc2dc\ucca0\ud3d0", "10335": "\ub2e8\uad6d\ub300\ud559\uad50", "10336": "empty", "10337": "\uc0b0\uc5c5\ubd80, \ub300\ud55c\uc0c1\uc758", "10338": "\uc2a4\ucf54\ub125\uc5d4\ud130\ud14c\uc778\uba3c\ud2b8", "10339": "2007\ub144~2012\ub144", "10340": "\uc1a1\uc740\uc544\ud2b8\uc2a4\ud398\uc774\uc2a4", "10341": "\uc9c0\uc2dd\uacbd\uc81c\ubd80\uc7a5\uad00\uc0c1", "10342": "\uc774\ub9c8\ud2b8", "10343": "empty", "10344": "\uc11c\ucc9c\ud654\ub825 1\u00b72\ud638\uae30", "10345": "\uc778\ud558\ub300", "10346": "empty", "10347": "200\ub9cc\ub2ec\ub7ec", "10348": "2 \ubc14\uc774\ud2b8", "10349": "\uacbd\ub0a8\uc815\ubcf4\ub300\ud559, \ud55c\uad6d\uc2f8\uc774\ubc84\ub300\ud559\uad50", "10350": "\uc0c1\uc7a5 \ubc0f \uc0c1\uae08 5\ubc31\ub9cc\uc6d0", "10351": "\uc6d0\uc790\ub825\uc548\uc804\uae30\uc220\uc6d0", "10352": "\uc81c\uc8fc\uc5d0\ub108\uc9c0\uacf5\uc0ac", "10353": "\uc989\uc2dc\ucca0\ud3d0", "10354": "empty", "10355": "empty", "10356": "empty", "10357": "\uc790\ub3d9\ucc28", "10358": "empty", "10359": "empty", "10360": "\ud574\uc678 \ub9c8\ucf00\ud305", "10361": "\uc6d0\uc790\ub85c \uc6a9\uae30\uc190\uc0c1\uc2dc \ubcf5\uad6c\uc5d0 \uc801\uc6a9\ub418\uc5b4 \uac00\ub3d9\uc911\uc778 \uc6d0\uc804\uc758 \uc218\uba85 \uc5f0\uc7a5\uc5d0 \ud65c\uc6a9", "10362": "\ubb34\uc5ed\ubcf4\ud5d8\uacf5\uc0ac", "10363": "\ubcf5\uc218 \uc120\uc815", "10364": "\uc0b0\uc5c5\uae30\uc220\uc815\ucc45\uad00, \ubb34\uc5ed\ubcf4\ud5d9\uacf5\uc0ac, KIAT, KEIT, KETEP \ubcf8\ubd80\uc7a5", "10365": "\uc5f4\uc804\ub3c4\ubc18\ub3c4\uccb4 \ubc29\uc2dd\uc758 \ubb34\uc218\uc870 \uc21c\uac04\ub0c9\uc628 \uc815\uc218\uae30", "10366": "5,000\uc5b5\uc6d0", "10367": "\uc790\uae30\uc790\ubcf8\uc774\uc775\ub960", "10368": "\uc815\ubcf4\ub124\ud2b8\uc6cc\ud06c \ubaa8\ub378", "10369": "4\ub144", "10370": "(\uc5ed\ub7c9\uac15\ud654, \uc778\uc7ac\uc591\uc131, \uace0\uc6a9\uc790\uae08\uc9c0\uc6d0, \ud574\uc678\uadfc\ub85c\uc790 \ub4f1)", "10371": "LG\uc804\uc790, \uc0bc\uc131\uc804\uc790", "10372": "\uc808\uc5f0\uc800\ud56d, \uc808\uc5f0\ub0b4\ub825", "10373": "150\uac1c\uc0ac 200\uac1c\uc0ac", "10374": "\ub2c8\ucf08", "10375": "\uc7ac\ud65c\uacfc\ud559\uae30\uc220\ud559\uacfc \ud504\ub85c\uadf8\ub7a8", "10376": "5\ubc31\ub9cc\ubd88", "10377": "\ub180\ubd80", "10378": "37", "10379": "empty", "10380": "41%", "10381": "\uac00\uc0c1 \ub124\ud2b8\uc6cc\ud06c \uce68\uc785 \ub300\uc751\uae30\uc220", "10382": "KPC", "10383": "\uc77c\ubc18\ud615", "10384": "\ud55c\uad6d\uac00\uc2a4\uc5f0\ub9f9 \ud68c\uc7a5", "10385": "4,250\ub9cc\uc6d0", "10386": "\ud55c\uad6d", "10387": "(\uc8fc)\uba54\ub514\uc2a8", "10388": "\uad6d\ubbfc\uc548\uc804 \uc9c0\ud0b4\uc774 \ub514\ubc14\uc774\uc2a4", "10389": "\uc2dc\uc2a4\ud15c\ubc18\ub3c4\uccb4 \uc138\uacc4\ud654 \uac1c\ubc1c", "10390": "\ud64d\uc775\ub300", "10391": "\ubd80\uc0b0", "10392": "empty", "10393": "3\ub2e8\uacc4", "10394": "\ubaa8\uc758 \uba74\uc811 \uc2e4\uc2dc \ubc0f \ucee8\uc124\ud305", "10395": "\u25aa\uac74\ubb3c\uc758 \uc804\ubd80 \ub610\ub294 \uc77c\ubd80\ub97c \uc784\ub300\ud560 \uacbd\uc6b0 \uc784\ub300\ub8cc \uc9c0\uc6d0\uc774 \uac00\ub2a5\ud558\ub3c4\ub85d \uaddc\uc815 \ubcf4\uc644", "10396": "\uc0c1\uc6a9\ud654\uc5d0 \ucd08\uc810\uc744 \ub85c\ubd07 SW\ud50c\ub7ab\ud3fc \uac1c\ubc1c", "10397": "\ud130\ud0a4, \uc77c\ubcf8, \uc911\uad6d", "10398": "\uae30\uc220\uc790\ub9bd\ud615 \ub3c5\uc790\ub178\ud615 \ud655\ubcf4", "10399": "\ud574\ub2f9\uae30\uc5c5 \uc0ac\uc5c5\ube44\uc758 33% \uc774\uc0c1", "10400": "empty", "10401": "empty", "10402": "empty", "10403": "OECD", "10404": "\uc0ac\uc808\ub2e8 \ud30c\uacac \uc0c1\ub2f4\ud68c \uac1c\ucd5c", "10405": "empty", "10406": "\uc2dd\uae30\uc138\ucc99\uae30 \uc5d0\ub108\uc9c0\ud6a8\uc728 \ub77c\ubca8\ub9c1", "10407": "2014\ub144", "10408": "\ub77c\ud30c\uc2a4 CTO", "10409": "\uc775\uc0b0 \uad6d\uac00\uc0b0\uc5c5\ub2e8\uc9c0", "10410": "empty", "10411": "\uc11c\uc6b8\ubd81\ubd80\uc9c0\ubd80", "10412": "2000.7\uc6d4", "10413": "\uc9c0\ubc29\uc138\ud2b9\ub840\uc81c\ud55c\ubc95\u300d \uac1c\uc815", "10414": "\uc124\ube44 \uc810\uac80", "10415": "\ud574\uc678\ub9c8\ucf00\ud305 \ub2f4\ub2f9\uc790", "10416": "empty", "10417": "\ud55c\uc6b8\ub85c\ubcf4\ud2f1\uc2a4", "10418": "7,350\uc6d0", "10419": "empty", "10420": "empty", "10421": "empty", "10422": "empty", "10423": "5\uc77c", "10424": "2\ucc28 \ubc1c\ud45c\uc2ec\uc0ac", "10425": "\uc9c0\uc2dd\uacbd\uc81c\ubd80", "10426": "\ud55c\uad6d\uc0dd\uc0b0\uae30\uc220\uc5f0\uad6c\uc6d0, \uc804\uc790\ubd80\ud488\uc5f0\uad6c\uc6d0 \ub4f1", "10427": "50\ub9cc\uc6d0 \uc0c1\ud488\uad8c", "10428": "\uc6d0\uc790\ub825\u00b7\ud654\ub825 \uc591\uc218\u00b7\uc2e0\uc7ac\uc0dd", "10429": "\uc22d\uc2e4\ub300", "10430": "\ubc18\ub3c4\uccb4\uc758 \uc81c\uc870, \uc870\ub9bd, \uac80\uc0ac \ubc0f \uce21\uc815\uc5d0 \uc0ac\uc6a9\ub418\ub294 \uc7a5\ube44", "10431": "O-\uba64\ubc84", "10432": "empty", "10433": "\uc5f0\ub8cc \uc804\uc9c0", "10434": "\u25aa192.6\ub9cc\ud638", "10435": "\ub2e4\uce74\ub974-\ub9d0\ub9ac \ucca0\ub3c4\uac74\uc124", "10436": "empty", "10437": "\uae08\uc18d", "10438": "\uc18c\ub4dd\uc138", "10439": "\ubca4\ucc98\uc721\uc131 \ud2b9\ubcc4\uc870\uce58\ubc95 \uc2dc\ud589\ub839\uc81c4\uc870", "10440": "11.1%", "10441": "\uc774\ucda9\ud6c8", "10442": "1\uc2dc\uac04", "10443": "96\uba85", "10444": "\ubd88\uacf5\uc815\ubb34\uc5ed\uc870\uc0ac\ubc95", "10445": "\ud1b5\uc0c1\uc815\ubcf4\ud559\ud68c", "10446": "40\uc5b5\uc6d0", "10447": "3\uc77c", "10448": "\ub9ac\ub354\uc2ed&\uc804\ub7b5", "10449": "\ud55c\uad6d\uacfc \ud568\uaed8\ud558\ub294 \uacbd\uc81c\ubc1c\uc804 Shaping the Future with Korea", "10450": "\uc548\uc815\uc801 \uc0dd\ud65c\ubcf4\uc7a5 \uccb4\uacc4 \uad6c\ucd95", "10451": "empty", "10452": "empty", "10453": "ETRI, \ud55c\uad6d\ub85c\ubd07\uc0b0\uc5c5\ud611\ud68c", "10454": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0", "10455": "\ud5a5\ud1a0\uc0b0\uc5c5\uc721\uc131\uc0ac\uc5c5", "10456": "\uc2dd\uc57d\ucc98", "10457": "\ud601\uc2e0", "10458": "\uc815\ubd80\uc9c0\uc6d0 \ud544\uc694\uc131", "10459": "empty", "10460": "\uacfc\ud559\uc601\uc7ac\uace0\uc5d0\uc11c 100% \uc120\ubc1c", "10461": "empty", "10462": "\uc628\ub77c\uc778 \uc811\uc218", "10463": "\uc815\uacbd\uc6d0 \uc6d0\uc7a5", "10464": "\uc5d0\ub108\uc9c0 \uc2e0\uc0b0\uc5c5", "10465": "\uacfc\uc7a5\uae09\uc774\uc0c1 \uac04\ubd80", "10466": "\uc7a5\uc560\uc778", "10467": "\ub9ac\uc0ac\uc774\ud074\uc81c\ud488 \ubc0f \uc7a5\ube44", "10468": "\ub18d\uc218\uc0b0\uc2dd\ud488\ubd80", "10469": "\uc790\ub3d9 \ud1b5\ubc88\uc5ed \uc18c\ud504\ud2b8\uc6e8\uc5b4 \uc6d0\ucc9c \uae30\uc220 \uac1c\ubc1c", "10470": "\ub300\ud559 \ubd80\uc124\uc5f0\uad6c\uc18c", "10471": "50%", "10472": "\uc544\ub974\ud5e8\ud2f0\ub098", "10473": "\ub300\ud654\uc81c\uc57d", "10474": "\ubcf5\uc218 \uc120\uc815", "10475": "\uc6d0\ubd80\uc790\uc7ac\uacf5\uae09\uc120 \uc870\uc0ac", "10476": "empty", "10477": "\ud574\uc678 \ud22c\uc790\ud658\uacbd\uc870\uc0ac \ucd9c\uc7a5 \uc870\uc0ac", "10478": "empty", "10479": "\ubcf4\uc870\ub2e8\uc704", "10480": "(\uc8fc)\uc138\uc601\uae30\uc5c5", "10481": "(\uc8fc)KD\ud30c\uc6cc", "10482": "empty", "10483": "60\uac1c\uc0ac", "10484": "\uc11d\uc720\ud654\ud559", "10485": "\uc911\uc18c\uae30\uc5c5 \uc790\uc0dd\ub825 \uac15\ud654\uc9c0\uc6d0", "10486": "empty", "10487": "\uc138\ub77c\ubbf9\uc18c\uc7ac\ub97c \uc774\uc6a9\ud55c \ud22c\uba85\ud55c \ubc18\ub3c4\uccb4 \uc81c\uc870\uae30\uc220", "10488": "\uc778\ucc9c\ud56d, \ud3ec\ud56d\ud56d, \ud3c9\ud0dd\ub2f9\uc9c4\ud56d", "10489": "\uc790\uc728\uc900\uc218\uccb4\uc81c \ubd84\uc57c", "10490": "empty", "10491": "\uadf9\ud55c \uc7ac\ub09c\ub300\uc751 \ub85c\ubd07", "10492": "empty", "10493": "empty", "10494": "\uc0c1\ud488 \ud398\uc774\uc9c0 \uc81c\uc791 \ubc0f \uc77c\uc5b4/\uc601\uc5b4 \ubc88\uc5ed", "10495": "\ub18d\ub9bc\ubd80", "10496": "empty", "10497": "0.3%", "10498": "\uace0\uc628 \uc5f4\uc5d0\ub108\uc9c0 \uc800\uc7a5\uc2dc\uc2a4\ud15c", "10499": "\ud574\uc678\uc2dc\uc7a5", "10500": "\uc0b0\uc5c5", "10501": "\uc0dd\uba85\uae30\uc220", "10502": "empty", "10503": "\ud504\ub85c\uadf8\ub7a8 \ud2b9\uc131\ud654", "10504": "empty", "10505": "\uc250\ub77c\ud1a4 \uc6cc\ucee4\ud790 Calla\ub8f8", "10506": "empty", "10507": "\ud55c\uad6d\ud3f4\ub9ac\ud14d\u2165\ub300\ud559", "10508": "\uc5ec\uc8fc\uc2dc", "10509": "empty", "10510": "empty", "10511": "\uad50\uc218", "10512": "300\ub9cc\uc6d0 \uc774\ub0b4", "10513": "\uc18c\uacf5\ub3d9\ub86f\ub370 \ucf54\uc5d1\uc2a4", "10514": "empty", "10515": "\ube0c\ub77c\uc9c8", "10516": "\uc720\uac00\ubd88\uc548\ucf00\uc774\uc2a4", "10517": "\uc804\uc790\ubd80\ud488\uc5f0\uad6c\uc6d0", "10518": "empty", "10519": "30\uba85", "10520": "empty", "10521": "\ud734\uba3c\ud329\ud130 \uae30\ubc18\uc7583D \ud488\uc9c8\uce21\uc815 \uae30\uc900 \ud45c\uc900\ud654", "10522": "\uc7ac\uc0ac\uc6a9, \uc7ac\uc81c\uc870 \ubc30\ud130\ub9ac \ud329 \uc131\ub2a5 \ubc0f \uc548\uc804\uc131 \uc2dc\ud5d8\ud3c9\uac00 \uae30\uc220\uac1c\ubc1c", "10523": "empty", "10524": "0.6%", "10525": "empty", "10526": "\uc815\ubd80 \ud3ec\uc0c1", "10527": "empty", "10528": "empty", "10529": "\uc9c0\ub2a5\ud615\uc0dd\uc0b0\uc2dc\uc2a4\ud15c", "10530": "empty", "10531": "282", "10532": "\uc800\ud0c4\uc18c \uc0b0\uc5c5\uae30\uae30\uad00", "10533": "\uc0c8\uc6b0", "10534": "empty", "10535": "empty", "10536": "\ud55c\uad6d\ud56d\uacf5\uc6b0\uc8fc\uc0b0\uc5c5, \ub300\ud55c\ud56d\uacf5", "10537": "\uc804\uacbd\ub828 \uc911\uc18c\uae30\uc5c5\ud611\ub825\uc13c\ud130", "10538": "KOTRA", "10539": "\uc81c\uc8fc\uc5ec\uc131\uad50\uc721\ubb38\ud654\uc13c\ud130 \uac15\ub2f9", "10540": "empty", "10541": "\uc54c\uce7c\ub9ac \uae08\uc18d", "10542": "empty", "10543": "empty", "10544": "\ub4dc\ub860", "10545": "2", "10546": "\ubc00\uc591\uc911\ud559\uad50 \uad50\uc0ac", "10547": "\uc804\uae30\uc81c\uc5b4\uacc4\uce21\uacfc", "10548": "empty", "10549": "\uc0ac\uc804\uacc4\uc57d \uc0ac\uc6a9\uc790", "10550": "471\uc5b5\uc6d0", "10551": "1\ucc28\ub144\ub3c4", "10552": "2000.10.21", "10553": "empty", "10554": "\ucd9c\uad6c\ub098 \ub3c4\ub85c", "10555": "empty", "10556": "\uc2e4\uc5c5\uae09\uc5ec", "10557": "\uc2b9\uc6a9\ucc28\ud0c0\uc774\uc5b4", "10558": "\uc0b0\uc5c5\ubd80", "10559": "empty", "10560": "empty", "10561": "\uc758\uc655\ubd80\uace1 \ub3c4\uae68\ube44\uc2dc\uc7a5", "10562": "\ud601\uc2e0", "10563": "\uae30\uad00\ubcc4 \uc804\ub825\uc218\uae09\ub300\ucc45 \ubcf4\uace0", "10564": "27\uac1c\uad50 \ub0b4\uc678", "10565": "\uc77c\ubc18\ud615", "10566": "21\uac74", "10567": "empty", "10568": "20%", "10569": "\uc5f4 \ud0dd\ubc30", "10570": "(\uc8fc)\ucf00\uc774\uc5e0\ub354\ube14\uc720", "10571": "\uc218\ub3d9\ubcc0\uc18d\uae30 \ubc0f \uc18c\ud615\uc790\ub3d9\ubcc0\uc18d\uae30", "10572": "empty", "10573": "\uae30\uc220\ucee8\uc124\ud305, \uc778\ub825\uae30\ubc18 \ub9c8\ub828, \uae30\uc5c5\ud611\ub825\uc9c4\ucd9c\uccb4\uacc4 \uad6c\ucd95", "10574": "empty", "10575": "\ub18d\ud611\ud611\ub3d9\uc870\ud569\uc911\uc559\ud68c", "10576": "400 MW", "10577": "90%", "10578": "empty", "10579": "empty", "10580": "5\ubc31\ub9cc\uc6d0", "10581": "\uc12c\uc720\uc13c\ud130 2\uce35 \uc774\ubca4\ud2b8\ud640", "10582": "\uc911\uacac\uae30\uc5c5", "10583": "FC BUS \uc2e4\uc6a9\ud654 \uc2dc\ubc94\uc0ac\uc5c5", "10584": "\ubc14\uc774\uc624, \uc758\ub8cc\uae30\uae30", "10585": "empty", "10586": "\uc9c0\uc5ed\uac70\uc810\uae30\uad00 \uc9c0\uc6d0", "10587": "\uad11\ud1b5\uc2e0\uc2dc\uc2a4\ud15c \ubc0f \ubd80\ud488", "10588": "\uc218\uc11d\ub300\ud45c\ud68c\uc758", "10589": "\uad11\uc13c\ud130\uad00\ub828 \uc5f0\uad6c\uae30\uad00", "10590": "120 \uba85", "10591": "empty", "10592": "\uc5d0\ub108\uc9c0\uacbd\uc81c\uc5f0\uad6c\uc6d0", "10593": "\ub2e4\uc774\ud14d \uc5f0\uad6c\uc6d0", "10594": "Posiva", "10595": "(\uc8fc)\ud558\ucf54", "10596": "18\uba85", "10597": "\ub300\uc0c1", "10598": "PN-916", "10599": "\uae30\uc220\ud45c\uc900\uc6d0, \ud2b9\ud5c8\uccad", "10600": "\ub3c5\uc77c", "10601": "9\ucc9ctoe", "10602": "\ubbf8\uad6d, \uc720\ub7fd, \uc911\uad6d \ub4f1", "10603": "\ub2f4\uc591\uad70\uccad", "10604": "\uac15\ud654\uad70, \uc639\uc9c4\uad70", "10605": "empty", "10606": "\uc8fc\ud0dd\uc5d0\uc11c \ucde8\uc0ac \ub610\ub294 \ub09c\ubc29\uc73c\ub85c \uc0ac\uc6a9", "10607": "\uc5f0\uad6c\uc5ed\ub7c9", "10608": "empty", "10609": "empty", "10610": "\ud604\ub300\uc790\ub3d9\ucc28", "10611": "9,522\uba85 (13.2%) 10,599\uba85", "10612": "\ubca8\uc9c0\uc5b8\ub85c, \uc5fc\uc218\ubd84\ubb34\ub85c \ubc0f \uce68\uc218\uc2dc\ud5d8\ub85c \uc678 8\uc885", "10613": "5%", "10614": "empty", "10615": "\uc0dd\uace1\uc9c0\uad6c", "10616": "63\ube4c\ub529\ud68c\uc758\uc2e4", "10617": "\uadf8\ub79c\ub4dc \uc778\ud130\ucee8\ud2f0\ub128\ud0c8 \ud638\ud154", "10618": "\uac15\uc810\ubd84\uc57c", "10619": "\uc0b0\uc5c5\ubd80", "10620": "empty", "10621": "SLA", "10622": "1\uba85", "10623": "\ub2e8\uc7a5, \ud22c\uc790\uad50\uc5ed\ud300", "10624": "\uc2b9\uc18c", "10625": "\uc624\ud0a4\ub4dc\ub8f8", "10626": "\ud55c\uad6d\uc804\uc790\uc0b0\uc5c5\uc9c4\ud765\ud68c", "10627": "\uc5b8\uae09 \uc5c6\uc74c", "10628": "(\uc8fc)\uc720\uc9c4\uc0ac\uc774\uc5b8\uc2a4", "10629": "\ubbf8\uad6d", "10630": "empty", "10631": "empty", "10632": "\uc14b\ud0d1\ubc15\uc2a4", "10633": "23kg", "10634": "empty", "10635": "\uc5b4\ud328\ub7f4, \uc0b0\ud559\ud611\ub3d9, \ubd80\uc790\uc7ac", "10636": "\ud55c\uad6d\uc0b0\uc5c5\ub2e8\uc9c0\uacf5\ub2e8", "10637": "\ub85c\ubd07", "10638": "\uc774\ub7ec\ub2dd \uc11c\ube44\uc2a4 \uc81c\uacf5 \ubc0f \uc774\uc6a9\uc744 \uc704\ud574 \ud544\uc694\ud55c \uae30\uae30, \uc124\ube44\ub97c \uc81c\uc870, \uc720\ud1b5\ud558\ub294 \uc0ac\uc5c5", "10639": "\uace0\ubd84\uc790", "10640": "\ud558\uc58f\ud2b8 \ud638\ud154 \ub9b4\ub9ac\ub8f8", "10641": "Win-Win Call Center", "10642": "empty", "10643": "\uc138\ub77c\ubbf9", "10644": "\ube0c\ub79c\ub4dc \uc54c\ub9ac\uae30", "10645": "\uacbd\uc81c\uc131 \ubc0f \uc0ac\uc5c5\ud654 \uac00\ub2a5\uc131", "10646": "5\uac1c \uc9c0\uad6c", "10647": "\uc9c0\uc5ed\uc0ac\ud68c \ubd09\uc0ac\ud65c\ub3d9", "10648": "\uace0\ub824\ub300\ud559\uad50", "10649": "\ucd94\uc9c4\uacc4\ud68d", "10650": "\uad00\uad11\uac1d \uc548\uc804\ud655\ubcf4\uccb4\uacc4, \uad00\uad11\uc548\ub0b4\uc6d0\uc758 \uad50\uc721", "10651": "\uccad\uc8fc\ub300", "10652": "\uc120\uccb4", "10653": "\uacf5\uac04\uc815\ubcf4\uc0b0\uc5c5\uc9c4\ud765\ubc95 \uc2dc\ud589\uaddc\uce59 \ubcc4\uc9c0 \uc81c9\ud638", "10654": "\ub0a9", "10655": "\ucda9\uccad\ub0a8\ub3c4", "10656": "\uac74\uad6d\ub300\ud559\uad50 4.10(\ud654) 13:00~17:00", "10657": "16.6", "10658": "empty", "10659": "\uc5f0 10\uc5b5\uc6d0 \ub0b4\uc678", "10660": "\uc81c\uc8fc\uc5ec\uc131\uad50\uc721\ubb38\ud654\uc13c\ud130 \uac15\ub2f9", "10661": "\ubd80\uc0b0\ud14c\ud06c\ub178\ud30c\ud06c", "10662": "\uac80\uc815\uace0\ubb34\uc2e0", "10663": "\uc8fc\ubbfc\ub4e4\uacfc \uc720\uba85\uc778\u00b7\uc804\ubb38\uac00\uac00 \uc18c\ud1b5\ud558\uace0 \uc990\uae38 \uc218 \uc788\ub294 \uc7a5(\u5834) \ub9c8\ub828", "10664": "15\ub144(\uacc4\ub7c9\ubc95 \uc2dc\ud589\ub839 21\uc870) \u3147 7\ub144", "10665": "JPL", "10666": "\uacc4\ub2e8 \ud06c\uae30", "10667": "\uace0\uc6a9\ubd80", "10668": "232\uac74", "10669": "\uc815\ubc00\uc81c\uc870 \uac00\ub2a5", "10670": "empty", "10671": "\uc5ec\uc131\ubcf5", "10672": "\ub0a9", "10673": "\uc0b0\uc5c5\uc6a9 \ub85c\ubd07 \ubc0f \uc6b4\ubc18 \uae30\uacc4", "10674": "\u3003", "10675": "empty", "10676": "GreenCarpool", "10677": "FTA\u00b7\uc218\ucd9c \uc5f0\uacc4 \ucee8\uc124\ud305, \ubb34\uc5ed\uc804\ubc18 \uc885\ud569\ucee8\uc124\ud305", "10678": "32\uac74", "10679": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "10680": "empty", "10681": "\uad00\uc138\uccad", "10682": "\ub3d9\uc544\uc81c\uc57d", "10683": "\uad6d\ub9bd\uc7ac\ud65c\uc6d0, \ud64d\uc131\uc758\ub8cc\uc6d0", "10684": "73.5%", "10685": "\ubc14\uc774\uc624\ubca4\ucc98\ud0c0\uc6b4", "10686": "\ub7f0\ub2dd\uba38\uc2e0", "10687": "\uc218\ucd9c\uae30\uc5c5 \uc9c0\uc6d0 \ubc0f \uc885\ud569\ucee8\uc124\ud305", "10688": "\uc218\ub3c4\uad8c \uc1a1\uc804\uacfc\ubc00 \uc9c0\uc5ed \uc81c\uc8fc-\ub0b4\ub959\uc5f0\uacc4", "10689": "empty", "10690": "\uc81c21\uc870 \ub2a5\ub825\ubc30\uc591\uc744 \uc704\ud55c \uc6d0\uc870\uc758 \uc81c\uacf5", "10691": "\uc758\uc0ac\uc804\ub2ec\ub2a5\ub825", "10692": "empty", "10693": "\ub370\uc774\ud130\uc800\uc7a5\ubd84\uc57c", "10694": "KOTRA", "10695": "\uc218\uc694\ub300\uc751\ud615 \uac00\uc0c1\ubc1c\uc804 & ESS", "10696": "empty", "10697": "\ubbf8\ucbd4\ube44\uc2dc\ud654\ud559", "10698": "\uc720\uacf5\uc790\ud45c\ucc3d", "10699": "7\uc77c", "10700": "R&D", "10701": "\ubc14\uc774\uc624\ud56d\uacf5\uc720 \uc0dd\uc0b0\uae30\uc220", "10702": "359,867\u33a1", "10703": "\uc5f0\ub8cc\uc804\uc9c0\uc6a9 LNG \uc694\uae08\uc81c", "10704": "\uc81c\uc5b4\uae30\uae30", "10705": "\ubbf8\ub798\ubd80", "10706": "\uc54c\ub8e8\ubbf8\ub284 \uc0c1\ubd80 \uce68\uc801\uc2dd \ubcf4\uc628\ub85c", "10707": "\uac00\uc2a4\ub3c4\uc785\ud310\ub9e4\uc0ac\uc5c5(\uc790) - \uac00\uc2a4\uc124\ube44\uc0ac\uc5c5(\uc790)", "10708": "\uc2dc\ud589\uc2dc\uae30 \ubb38\uc758 \ubc0f \uaddc\uc81c\uc815\ubcf4 \uc81c\uacf5 \uc694\uccad", "10709": "13\ub144", "10710": "\uac1c\ubc1c\uc77c\uc815 \ub2e8\ucd95 \ubc0f \uc870\uae30\uc591\uc0b0", "10711": "\uc544\ub0a0\ub85c\uadf8\ud1b5\uc2e0", "10712": "\ud658\uacbd \uce5c\ud654\ud615", "10713": "empty", "10714": "\uc911\ubd80\ubc1c\uc804 \ubcf4\ub839\ud654\ub825", "10715": "\ubfcc\ub9ac\uc0b0\uc5c5 \ud2b9\ud654\ub2e8\uc9c0 \uc9c0\uc815 (\uad6d\uac00\ubfcc\ub9ac\uc0b0\uc5c5\uc9c4\ud765\uc13c\ud130) \ubfcc\ub9ac\uc0b0\uc5c5 \ud2b9\ud654\ub2e8\uc9c0 \uc120\uc815", "10716": "\ud0d5\uc815, \ud30c\uc8fc \ub4f1 \uc0b0\uc5c5\uc9d1\uc801\ub2e8\uc9c0 \ud074\ub7ec\uc2a4\ud130 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uac01\uc885 \uaddc\uc81c \uc644\ud654", "10717": "\uae30\uc5b4\ubc15\uc2a4 \ubc0f \ub3d9\ub825\uc7a5\uce58", "10718": "\ud574\uc678\ud64d\ubcf4\ube44", "10719": "empty", "10720": "\uc0b0\uc5c5\uae30\uc220\ub300", "10721": "\uc9c0\uc5ed\ubcc4 LED \ud2b9\ud654 \ud074\ub7ec\uc2a4\ud130 \uc870\uc131 \ubc0f \ud22c\uc790 \ud655\ub300", "10722": "\ud0dc\uc591\uc5f4+\uc9c0\uc5f4 \uc735\ubcf5\ud569 \ubc1c\uc804", "10723": "\ucc28\uc138\ub300 \ub85c\ubd07 \ubcf4\uae09 \ud655\uc0b0 \ubc0f \ub300\uaddc\ubaa8 \uc2e4\uc99d\u2024\uccb4\ud5d8 \uacf5\uac04\uc870\uc131", "10724": "empty", "10725": "\ubbf8\uad6d", "10726": "\uc804\uae30\uc2dc\uc124 \ud30c\uad34\u00b7\ubcf5\uad6c \ub3c4\uc0c1\ud6c8\ub828", "10727": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "10728": "\ud55c\uad6d \uc2f1\uac00\ud3f4 \uce90\ub098\ub2e4", "10729": "7\uc5c5\uccb4", "10730": "\ud22c\uc790CEO \uacfc\uc815", "10731": "empty", "10732": "\uce90\ub9ac\uc5b4", "10733": "empty", "10734": "5\ub144", "10735": "\uace0\ud6a8\uc728 VRF \ud788\ud2b8\ud38c\ud504 \ubc0f \uc2dc\uc2a4\ud15c \uc81c\uc5b4 \uc194\ub8e8\uc158 \uac1c\ubc1c", "10736": "\ubcf4\uc721\uc2dc\uc124, \ubb38\ud654\uc7ac\uc0dd\uc0ac\uc5c5, \ub3c4\uc11c\uad00 \ub4f1 \uc815\ubd80\uc0ac\uc5c5\uc740 \uac1c\ubcc4\uc801\uc73c\ub85c \uc2e0\uccad\u00b7\uc9c0\uc6d0", "10737": "\ud2b9\uc131\ud654\uace0 2\u223c3\ud559\ub144", "10738": "\uc5d0\ucf54\ub871\ub871", "10739": "(\uc8fc)\ud604\ub300\uc911\uacf5\uc5c5", "10740": "\uc0b0\uc5c5\uc790\uc6d0\ubd80\uc7a5\uad00\uc0c1", "10741": "\ucd1d\uc0ac\uc5c5\ube44\uc758 2/3 \uc774\ub0b4", "10742": "\uc138\ub178\ucf54", "10743": "\uc911\uc18c\uae30\uc5c5\uc9c4\ud765\uacf5\ub2e8 \uacbd\uae30\ubd81\ubd80\uc9c0\ubd80", "10744": "empty", "10745": "\ucde8\ub4dd\uc810\uc218\uc758 5%", "10746": "\ud30c\uc778\ub51c\uc778\ud130\ub124\uc154\ub0a0", "10747": "Inno-Cafe Network-Hub", "10748": "\uc624\ub9ac\uc5d4\ud0c8\ucf54\ud504", "10749": "1.1\ud1a4", "10750": "\uc720\ud55c\uc591\ud589", "10751": "\ud2b9\ud5c8\uad8c", "10752": "18.2%", "10753": "\uc18c\uc0c1\uacf5\uc778", "10754": "3\uac1c\uc6d4", "10755": "KS R 5034\uc758 \uc81c4\ud56d", "10756": "\uc9c0\uc5ed\uc758 \uae00\ub85c\ubc8c \uacbd\uc7c1\ub825 \ud655\ubcf4", "10757": "\ub2e4\uc591\ud55c \uae08\uc735\uae30\uad00", "10758": "\uc544\uc774\ub514\uc5b4\ub97c BM \ubaa8\ub378\ub85c \uc124\uacc4\ud1a0\ub85d \ubc29\ubc95\ub860 \ucf54\uce6d", "10759": "\uc2f1\uac00\ud3ec\ub974", "10760": "\ub3d9\ub0a8\uc544 \ub300\ub9cc", "10761": "\ud2b9\uc131", "10762": "\ubb3c\ub958, \uc7a5\ube44\uc81c\uc870", "10763": "\ubc18\ub3c4\uccb4", "10764": "\ud604\ub300\uc790\ub3d9\ucc28 \ud604\ub300\uc624\ud2b8\ub860", "10765": "\u321c\ud55c\uad6d \ubbf8\uc2a4\ubbf8", "10766": "22\uac1c", "10767": "empty", "10768": "\uc131 \ud3c9\ub4f1\uc5d0 \ubbf8\uce60 \uc601\ud5a5", "10769": "\uacf5\uac04\uc815\ubcf4 \uad00\ub828\ubc95\ub839\uc81c\uc815", "10770": "empty", "10771": "BI \ud2b8\ub799", "10772": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "10773": "\ud55c\uad6d \uc9c0\ub2a5\ud615\uad50\ud1b5\uccb4\uacc4 \ud611\ud68c", "10774": "30\uc5b5\ubd88", "10775": "CP\uccb4\uc81c \uad6c\ucd95 \ubd84\uc57c", "10776": "\uc22b\uc790", "10777": "empty", "10778": "2009\ub144 2010\ub144 2011\ub144", "10779": "10", "10780": "2010\ub144", "10781": "1,000\uc2dc\uac04", "10782": "\uad11\uc12c\uc720", "10783": "empty", "10784": "3\uff5e4\ubc30", "10785": "empty", "10786": "2\ubc30", "10787": "13\uac1c", "10788": "\uc81c12\uc870 \uc138\uad00 \ud611\ub825", "10789": "empty", "10790": "empty", "10791": "1,497\uc6d0", "10792": "empty", "10793": "\uc720\ub3c4\uac00\uc5f4\ubc29\uc2dd", "10794": "\uc0b0\uc5c5\ub370\uc774\ud130 \ud45c\uc900\ud654 \ubc0f \uc778\uc99d\uc9c0\uc6d0", "10795": "\ud601\uc2e0", "10796": "\uc131\uade0\uad00\ub300", "10797": "\ud658\uacbd\uc124\ube44\ubd80\ubb38", "10798": "\uc804\ub77c\ub0a8\ub3c4, \uad11\uc8fc, \uc81c\uc8fc\ub3c4", "10799": "\ub098\ub178\ubd84\uc11d\uce21\uc815", "10800": "empty", "10801": "empty", "10802": "34\uac1c\uad6d", "10803": "\ub0a8\ubd80", "10804": "CIS \uce69\uc14b \uae30\uc220 \ubc1c\uc804 \uc720\uacf5", "10805": "\ud55c\uc9c4", "10806": "\ubc84\ucee4\ub8e8", "10807": "\uc5f0\uc138\ub300", "10808": "empty", "10809": "\uc0ac\ub791\uc0d8\uacf5\ub3d9\uccb4", "10810": "\uc77c\ubcf8", "10811": "83\uba85", "10812": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80 \uc7a5\uad00\uc0c1", "10813": "\ud604\ub300\uc790\ub3d9\ucc28", "10814": "\uce74\ub4dc\ubbb4", "10815": "empty", "10816": "20\uc5b5\uc6d0", "10817": "\ucc28\uc138\ub300\ud1b5\uc2e0\ub124\ud2b8\uc6cc\ud06c, \uc815\ubcf4\ud1b5\uc2e0\ubbf8\ub514\uc5b4, SW\u00b7\ucef4\ud4e8\ud305, \uc804\uc790\uc815\ubcf4\ub514\ubc14\uc774\uc2a4", "10818": "10\uc5b5\uc6d0", "10819": "65\uc138", "10820": "\uc785\uc8fc\uc9c0\uc6d0\ud300", "10821": "empty", "10822": "\ud45c\uc900\ubb3c\uc9c8", "10823": "\uc911\uad6d", "10824": "\uac1c\ubcc4\uae30\uc220\uc774 \ubcf5\ud569\ub41c \uc2dc\uc2a4\ud15c \ud45c\uc900 \uac1c\ubc1c", "10825": "\uac15\uc6d0\ub3c4 \uc601\uc6d4\uad70 \ub355\ud3ec\ub9ac(\ube44\ud589\uc2dc\ud5d8\uc9c0\uc5ed)", "10826": "6\uac1c\uc6d4 \uc774\uc0c1", "10827": "empty", "10828": "\uace0\ub4f1\ud559\uc0dd\ubd80", "10829": "\ub514\uc790\uc778 \uc804\uc2dc\uc0ac\uc5c5", "10830": "\uc5f0\uc18c\ud6c4 \ucc98\ub9ac", "10831": "13\uac1c\uad6d", "10832": "empty", "10833": "\ucc28\ub2e8\uc6a9 \uac00\uc2a4\uc815\uc81c\ub97c \uc704\ud55c \uace0\uae30\ub2a5\uc131 \ubb34\uae30\uacc4 \ud761\ucc29\uc81c \uac1c\ubc1c", "10834": "45%\uc758 \uc5d0\ub108\uc9c0", "10835": "\uac15\uc6d0\ub300\ud559\uad50, \uc131\uade0\uad00\ub300\ud559\uad50, \uad11\uc6b4\ub300\ud559\uad50, KAIST", "10836": "28\uac74", "10837": "\ubaa9\uac78\uc774, \ubc18\uc9c0, \uc218\uc800, \uadf8\ubc16\uc758 \uadc0\uae08\uc18d\uac00\uacf5\uc0c1\ud488", "10838": "empty", "10839": "\ud55c\uad6d\uc601\uc0c1\uae30\uae30\uc5f0\uad6c\uc870\ud569", "10840": "\uc0ac\ubb3c\ub180\uc774", "10841": "\u321c\ucf54\ub9ac\uc544\ucef4\ud4e8\ud130", "10842": "\uae30\ubcf8\uad00\uc138, FTA\ud611\uc815\uc138, \uac10\uba74\uc138, \ubd80\uac00\uc138 \ub4f1", "10843": "\ub300\uae30\uc5c5, \ucc3d\uc870\uacbd\uc81c\ud601\uc2e0\uc13c\ud130 \ub4f1", "10844": "117\uc870\uc6d0", "10845": "\uac1c\ubc1c \uae30\uc220\uc758 \uc0ac\uc5c5\ud654", "10846": "\uc120\ubcf4\uacf5\uc5c5", "10847": "\ub2e4\ud488\uc885 \uc720\uc5f0\uc0dd\uc0b0 \ub4f1 \ubbf8\ub798 \uc81c\uc870 \ud658\uacbd\uc5d0 \ud65c\uc6a9\ub418\ub294 \uace0\ub3c4\ud654\ub41c \uc560\ud50c\ub9ac\ucf00\uc774\uc158\uc744 \uac1c\ubc1c", "10848": "\uacbd\uc81c\uc801 \ud30c\uae09\ud6a8\uacfc", "10849": "\uc5ec\uc218", "10850": "\uba54\ub514\ucee4\ud2bc", "10851": "\uba74\uc811 \ub9e4\ub108 \ubc0f \ud45c\uc815\uad00\ub9ac \ucee8\uc124\ud305", "10852": "\uc5d0\ub108\uc9c0 \uad00\ub9ac\uc0ac\uc5c5", "10853": "US $ 1,000,000", "10854": "2\ub9cc\uc6d0", "10855": "\uc804\ub825\uc0b0\uc5c5\uacfc", "10856": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80", "10857": "1,000\uba85", "10858": "2,267 \uc5b5\uc6d0", "10859": "4", "10860": "\uae30\ucd08\ub9c8\ucf00\ud305, \uc218\ucd9c \ubc0f \ud604\uc9c0\ud654 \uc9c0\uc6d0", "10861": "\uace0\ub3c4\uae30\uc220 \uc218\ubc18\uc0ac\uc5c5", "10862": "\ucda9\ubd81 \uc81c\ucc9c\uc2dc \ubd09\uc591\uc74d \uc0bc\uac70\ub9ac 949\ubc88\uc9c0 \uc77c\uc6d0", "10863": "193\uac1c", "10864": "empty", "10865": "\ud0dc\uc591\uad11/\ud574\uc0c1\ud48d\ub825", "10866": "25.1\uc810", "10867": "\ub9ac\ud2ac\uc774\uc628\uc804\uc9c0, \ub0a9\ucd95\uc804\uc9c0 \ub4f1", "10868": "51\uc5b5\ubd88", "10869": "\uace0\ub824\ub300\ud559\uad50", "10870": "empty", "10871": "(\uc8fc)\ub3c4\ud654 \uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "10872": "\ud654\ud559\uc81c\ud488", "10873": "10", "10874": "\uc9c0\uacbd\ubd80", "10875": "\ud55c\uad6d\ud654\ud559 \uc2dc\ud5d8\uc5f0\uad6c\uc6d0", "10876": "\uc12c\uc720\ub958", "10877": "\ud5c8\uac00\ucde8\uc18c", "10878": "2014\ub144", "10879": "\uc0bc\uc131\ucf54\ub2dd \uc5b4\ub4dc\ubc34\uc2a4\ub4dc\uae00\ub77c\uc2a4", "10880": "\uacbd\uc601\ubd80\ubb38", "10881": "\uace8\ud504\uc7a5", "10882": "\uc77c\ubcf8", "10883": "\ud45c\uba74\ucc98\ub9ac", "10884": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 50% \uc774\ud558", "10885": "\uc6d0\ub8cc \ubc0f \uc18c\uc7ac \ud488\ubaa9", "10886": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "10887": "\ud574\uc678 \uae00\ub85c\ubc8c \uc2dc\uc7a5\uc5d0\uc11c \ud1b5\uc6a9\ub418\ub294 \ud45c\uc900 PM \uc9c0\uc2dd \ubc0f \uae30\uc220\uc5d0 \ub300\ud55c \uae30\ubcf8 \uc5ed\ub7c9\uc744 \ubc30\uc591", "10888": "10.0% \uc774\uc0c1", "10889": "\uc5d0\uc5b4\ucee8, \uc5f4\ud38c\ud504", "10890": "empty", "10891": "\uacf5\uc5f0 \uccb4\ud5d8\uc7a5", "10892": "empty", "10893": "1\ub2e8\uacc4", "10894": "10% \uc774\uc0c1", "10895": "\ud574\uc678\uc2dc\uc7a5 \uc9c4\ucd9c\uc9c0\uc6d0", "10896": "\ud574\uc678\ub9c8\ucf00\ud305\uc9c0\uc6d0", "10897": "\uccad\uc8fc, \ud601\uc2e0\ub3c4\uc2dc, \uc99d\ud3c9, \uad34\uc0b0, \ucda9\uc8fc \uc77c\uc6d0", "10898": "empty", "10899": "\ud55c\uad6d\uc0b0\uc5c5\uae30\uc220\uc2dc\ud5d8\uc6d0", "10900": "\uc790\ub9bd\ud615", "10901": "\uc561\uc0c1, \uc5d0\ud2f8\ub80c\uae00\ub9ac\ucf5c 10%(w/w) \uc774\uc0c1\uc744 \ud568\uc720\ud558\uace0 \uc788\ub294 \uac83", "10902": "empty", "10903": "62\uc5b5\uc6d0", "10904": "\uc0bc\uc131\ubb3c\uc0b0 \ub4f1 9\uac1c", "10905": "14:30~15:20", "10906": "empty", "10907": "5\ub144", "10908": "10\uc5b5\uc6d0", "10909": "\uc804\ub0a8\uc5ec\uc218\uc5d0 \ud569\uc131\uace0\ubb34 \uc81c\uc870\uacf5\uc7a5 \uc124\ub9bd", "10910": "\uad6d\uc81c\uad11\uc0b0\uc5c5\uc804", "10911": "empty", "10912": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "10913": "\ud48d\ub825\ubc1c\uc804\ud130\ube48 1\uc704", "10914": "\ub514\uc790\uc778\uae30\ubc18\uad6c\ucd95", "10915": "\uc2e0\uae30\uc220\uc2e4\uc6a9\ud654", "10916": "\uacbd\uc601\uc790\ubb38\ub2e8", "10917": "\uc911\uae30\uc911\uc559\ud68c", "10918": "\ud574\uc678\uaddc\uaca9\uc778\uc99d \ud68d\ub4dd\ube44\uc6a9 \uc9c0\uc6d0", "10919": "\ub18d\uc0b0\ubb3c", "10920": "\uacbd\ubd81, \ub300\uad6c, \ub300\uc804", "10921": "\uc218\uc11d\ub300\ud45c\ud68c\uc758", "10922": "\uc911\ub300\ud615 \uc774\ucc28\uc804\uc9c0 \uc2dc\ud5d8\uc778\uc99d \ud3c9\uac00\uae30\ubc18 \uad6c\ucd95", "10923": "50%", "10924": "\uc12c\uc720", "10925": "\ubbf8\ub2c8\ud074\ub7ec\uc2a4\ud130 \uad6c\uc131 \uc9c0\uc6d0", "10926": "\uac74\uc124\ud1b5\uc2e0\ubd80", "10927": "\ub86f\ub370\ud638\ud154 \uba74\uc138\uc810 4\ub9cc\uc6d0 \uc120\ubd88\uce74\ub4dc \uc99d\uc815, \uc2e0\ub77c\uc2a4\ud14c\uc774 \ubb34\ub8cc \uac1d\uc2e4 \uc5c5\uadf8\ub808\uc774\ub4dc", "10928": "200\uc5b5", "10929": "1,800\uc6d0", "10930": "\uc74c\uc131\uc778\uc2dd", "10931": "empty", "10932": "\ub300\uad6c\ubb34\uc5ed\ud68c\uad00 4\uce35 \ub300\ud68c\uc758\uc2e4", "10933": "\ub300\ud559\uc785\uc7a5", "10934": "JTBC", "10935": "\uad7f\ubaa8\ub2dd \ub77c\uc774\uc2a4\ud398\uc2a4\ud0c0", "10936": "\uae30\uc220\ud601\uc2e0", "10937": "\uc2dc\uc0c1\uc791 2\ubc30\uc218", "10938": "\ud658\uacbd\uc815\ucc45 \ubc0f \uc870\uc9c1, \uae30\ud6c4\ubcc0\ud654 \ub300\uc751 \ub4f1", "10939": "\ud22c\uc790\uac00 \ub77c\uc6b4\ub4dc\ud14c\uc774\ube14", "10940": "\uc0b0\uc5c5\ub2e8\uc9c0\ud615 \uacf5\ub3d9 \uc9c1\uc7a5 \uc5b4\ub9b0\uc774\uc9d1", "10941": "15\uac1c\uc6d4-18\uac1c\uc6d4", "10942": "empty", "10943": "\uc218\uc694\uc5f0\uacc4\ud615", "10944": "\ud604\uc7a5 \uc778\ud134\uc27d", "10945": "\uc624\uc804", "10946": "\uc5f0\uad6c\ubc29\ubc95", "10947": "\uacf5\uacf5 \uae30\uc220\uc774\uc804\u00b7\uc0ac\uc5c5\ud654 \uc9c0\uc6d0", "10948": "empty", "10949": "\ud3ec\uc2a4\ud14d", "10950": "4. 1(\uc218)~5. 29(\uae08)", "10951": "\ud55c\uad6d\uc11d\uc720\uacf5\uc0ac", "10952": "\ud50c\ub77c\uc988\ub9c8 \ubb3c\uc131", "10953": "75 mg/kg \uc774\ud558", "10954": "3.3\uba85", "10955": "empty", "10956": "empty", "10957": "91%", "10958": "\ucc98\ubd84\uc2dc\uc124 \uc0c1\uc138\uc124\uacc4 \ubc0f \uc778\ud5c8\uac00 \ubcf4\uc644 \ubd80\uc9c0\uc870\uc0ac", "10959": "2008", "10960": "WPM, ATC, \uadf8\ub798\ud540\uc18c\uc7ac\ubd80\ud488", "10961": "\uad11\uc5ed\uc815\uc804 \ubc29\uc9c0\ub97c \uc704\ud574 \uae34\uae09 \ubd80\ud558\ucc28\ub2e8", "10962": "empty", "10963": "\u25e6\uc2dc\ubbac\ub808\uc774\uc158 \uacb0\uacfc \ubc0f \uc801\uc6a9\ubc29\uc548", "10964": "\uc5d0\ub108\uc9c0\uc218\uc694\uad00\ub9ac \ud655\ub300", "10965": "2\ud68c", "10966": "\uc77c\ubc18\uae30\uacc4", "10967": "3\uac1c", "10968": "empty", "10969": "empty", "10970": "\ucda9\uccad\uc9c0\uc5ed \uac74\uc124\uae30\uacc4\uc778\uc758 \ub0a0", "10971": "\uc218\uc1a1\uae30\uacc4\ubd80\ud488", "10972": "empty", "10973": "3\ub144", "10974": "\uc2dc\uc7a5\uc131, \uae30\uc220\uc131, \uad8c\ub9ac\ubc94\uc704, \uc0ac\uc5c5\uc131 \ub4f1", "10975": "\uac74\uc124\u0387\uc778\ud504\ub77c", "10976": "empty", "10977": "\uc2dc\uc7a5\uc218\uc694 \ucc3d\ucd9c", "10978": "\uc548\uac74\ubc1c\uad74", "10979": "\uc555\ub825\uc870\uc815\uc7a5\uce58\uc758 \ub3d9\uc791\uc131\ub2a5\uc2dc\ud5d8", "10980": "(\uc8fc)\uc11c\uc601\uc5d4\uc9c0\ub2c8\uc5b4\ub9c1", "10981": "3% \uc774\ub0b4", "10982": "H.", "10983": "1\uc778\ub2f9 3\ucc9c\ub9cc\uc6d0", "10984": "empty", "10985": "\ud45c\uba74\uc5d0 \uc624\uc5fc\ubb3c\uc9c8\uc744 \uace0\ucc29\uc2dc\ucf1c\uc11c \ud45c\uba74 \uc190\uc0c1 \ubc29\uc9c0\uc6a9 \uc81c\ud488", "10986": "\uae30\uc220\ud3c9\uac00", "10987": "\ub300\uc0c1", "10988": "\uc218\ud589 \ub2a5\ub825", "10989": "\uc0b0\uc5c5\uc790\uc6d0\ubd80\uc7a5\uad00\uc0c1(\uc0c1\uae08200\ub9cc\uc6d0)", "10990": "3\uac1c\uc0ac, \ubbfc\uac04\uae30\uc5c5 5\uac1c\uc0ac, \uad11\ud574\uad00\ub9ac\uacf5\ub2e8 \ub4f1 \ucd1d 9\uac1c\uc0ac", "10991": "\uacbd\ub0a8 \ud1b5\uc601 \uc870\uc120\ud611\ub825\uc0ac", "10992": "empty", "10993": "LG\ud654\ud559", "10994": "1 3 9 3", "10995": "\ud22c\uc790\uc720\uce58 \uc124\uba85\ud68c", "10996": "\u318d\ud55c\uc911 \ud658\uacbd\ud611\ub825 \ud3ec\ub7fc \ubc0f \uc0c1\ub2f4\ud68c", "10997": "\uc804\uc790\ubb34\uc5ed \uc804\ubb38\uac00 \uacfc\uc815", "10998": "\uc0b0\uc5c5\uc6a9 \uc12c\uc720", "10999": "\uacf5\uac10", "11000": "\ucc9c\uc5f0\uc790\uc6d0\uc815\ucc45\uacfc\uc758 \uc870\ud654, \uacbd\uc81c\uac1c\ubc1c\uacc4\ud68d\uacfc \uc5f0\ub3d9", "11001": "200\uac1c\uc0ac", "11002": "\uc804\ubd81 \uad70\uc0b0 \uc790\ub3d9\ucc28\ud611\ub825\uc0ac", "11003": "1\ub9cc1\ucc9c\uc6d0", "11004": "3\uac1c\uc0ac", "11005": "\uc720\ud55c\ud68c\uc0ac\ud615", "11006": "\uc18c\uac01\uc5f4 \ud65c\uc6a9", "11007": "\uba55\uc2dc\ucf54", "11008": "\uc6b8\uc0b0", "11009": "empty", "11010": "\uc774\ucc28\uc804\uce58", "11011": "empty", "11012": "\ubbf8\uad6d", "11013": "1992\ub144", "11014": "empty", "11015": "10\uac1c\uc0ac", "11016": "COEX 3\uce35 C\ud640", "11017": "empty", "11018": "\uad50\uc721\ubd80", "11019": "317\ud638", "11020": "\uc11d\uc720\ud654\ud559", "11021": "KOLAS", "11022": "\uc0b0\uc5c5\ud1b5\uc0c1 \uc790\uc6d0\ubd80", "11023": "\uc790\ub3d9\ucc28", "11024": "empty", "11025": "\uac74\uc124 \ubc0f \ud1a0\ubaa9", "11026": "\uc6b8\uc0b0", "11027": "\uc0b0\uc5c5\ud1b5\uc0c1\uc790\uc6d0\ubd80\uc7a5\uad00\uc0c1", "11028": "\ud64d\ubcf4\ud65c\ub3d9 \uc9c0\uc6d0", "11029": "empty", "11030": "\uac74\uad6d\ub300", "11031": "empty", "11032": "\uc9c0\uacbd\ubd80", "11033": "\ud55c\uad6d\uc0b0\uc5c5\ub2e8\uc9c0\uacf5\ub2e8", "11034": "\uac15\uc6d0\ub300", "11035": "\ud658\uacbd, \uc0ac\ud68c\uc640 \uc870\ud654", "11036": "\uace0\uae09 \uc18c\ube44\uc7ac\uad00", "11037": "IT \uc735\ubcf5\ud569 \uc9c0\uc2dd\uae30\ubc18 \uc11c\ube44\uc2a4 \uc704\uc8fc\uc758 \ucca8\ub2e8\uc0b0\uc5c5 \uc721\uc131 \uc0b0\uc5c5\ub2e8\uc9c0", "11038": "empty", "11039": "empty", "11040": "\ubc14\uc774\uc624 \uc18c\uc7ac", "11041": "\uac1c\ud3d0\uad6c\uc870 \uc124\uce58 \uac00\ub2a5", "11042": "100%", "11043": "16,000\ubc31\ub9cc\uc6d0", "11044": "LG\uc804\uc790 \ud30c\ub098\ub9c8\ubc95\uc778", "11045": "HDDS", "11046": "\ubca0\ub97c\ub9b0", "11047": "SW\uc194\ub8e8\uc158", "11048": "9\uac1c", "11049": "empty", "11050": "\uc6f9\uae30\ubc18\uc758 \ub300\uc6a9\ub7c9 \uc778\ud130\ub137\uc804\ud654 \uc2e4\uc2dc\uac04 \ud488\uc9c8\uad00\ub9ac\uc2dc\uc2a4\ud15c \uac1c\ubc1c", "11051": "\uc911\uad6d", "11052": "10 \ud300", "11053": "1985", "11054": "Mr. Peter Unger", "11055": "\ud55c\uad6d\ud615 \ud788\ub4e0\ucc54\ud53c\uc5b8 \uc721\uc131\uc0ac\uc5c5", "11056": "empty", "11057": "\uc8fc\uc5b4\uc9c4 \ubaa8\uc158\ud0a4\ud2b8\ub97c \uc774\uc6a9\ud558\uc5ec \ubbf8\uc158\uc744 \uc218\ud589\ud558\ub294 \uae30\ub85d\uacbd\uae30", "11058": "\ub2e8\uccb4", "11059": "\ubc18\ub3c4\uccb4\uc81c\uc870\uc6a9\uc7a5\ube44", "11060": "empty", "11061": "1\ub300", "11062": "174.7\uc5b5\uc6d0", "11063": "20%\uc774\uc0c1", "11064": "\uae08\uc735\uc704", "11065": "empty", "11066": "95(\uac1c) 29.2%", "11067": "\ubcbd\uc0b0", "11068": "2.8%p", "11069": "\uc804\uc8fc\ub300", "11070": "empty", "11071": "\ucd5c\ub300 23\ubc31\ub9cc\uc6d0 \uc774\ub0b4 \uc2dc\uc124\uc790\uae08\uc758 70\uff5e90%\uc9c0\uc6d0", "11072": "\u321c\uc778\uc2e4\ub9ac\ucf54)", "11073": "\uc904\uc774 \uc5c6\ub294 \uc804\uc790\ub3d9\uc2dd, \uc218\ub3d9\uc2dd \uc81c\ud488", "11074": "100\ubd84\uc758 30 \uc774\uc0c1", "11075": "238\uba85", "11076": "empty", "11077": "28.2", "11078": "\uc7ac\ub2e8\uacf5\uc815 \uc218\ud589\uad6d", "11079": "3.76\ud1a4", "11080": "empty", "11081": "3%", "11082": "225\uac1c", "11083": "2\ub144 \uc774\ub0b4", "11084": "\uc18c\ube44\uc790 \uc120\ud0dd\uad8c \ud655\ub300", "11085": "\uc804\uae30\u00b7\uc804\uc790\u00b7\uc790\ub3d9\ucc28", "11086": "empty", "11087": "\ud558\ub178\uc774 \ubca1\uc2a4\ucf54", "11088": "\uae30\uacc4", "11089": "40\uac1c\uad6d", "11090": "\ud0a4\ub974\ud0a4\uc988\uc2a4\ud0c4", "11091": "empty", "11092": "\uc2dc\ud5d8\u00b7\uac80\uc0ac\u00b7\uad50\uc815", "11093": "4\uac1c\uc810", "11094": "\ud604\ub300\uc911\uacf5\uc5c5, \ud6a8\uc131, LS\uc0b0\uc804, \uc77c\uc9c4\uc804\uae30", "11095": "empty", "11096": "empty", "11097": "4\ub144", "11098": "\ubc14\uc774\uc624", "11099": "empty", "11100": "\uc218\ucd9c\uc9c0\uc6d0\ud611\uc758\ud68c\uc6b4\uc601(\uc9d1\uc911\uc9c0\uc6d0), \ubc00\ucc29\uad00\ub9ac", "11101": "\uc81c\uc8fc\uc9c0\uc815\uba74\uc138\uc810", "11102": "\uccad\uc8fc\ub300", "11103": "24\uba85", "11104": "\uc0c1\ud0dc\ud655\uc778\uae30\uae30", "11105": "\uc57d 25,000\uac74", "11106": "\uac00\ubc1c, \uc12c\uc720, \uc758\ub958\ubd09\uc81c", "11107": "\ucc9c\uc5f0\uc790\uc6d0 \ubc0f \uc5d0\ub108\uc9c0 \uc0dd\uc0b0", "11108": "u-\uc2dd\uc774\uad00\ub9ac", "11109": "empty", "11110": "\ucc3d\ub9bd\uae30\ub150\uc77c, \uc7a5\uae30\uadfc\uc18d\uc790, \ud1f4\uc9c1\uc608\uc815\uc790\uc5d0 \ub300\ud55c \uae30\ub150\ud488 \uc9c0\uae09 \ucd95\uc18c\u2027\ud3d0\uc9c0", "11111": "11%\uc774\ub0b4", "11112": "135\uac1c\uad6d", "11113": "\uc18c\ud1b5\u00b7\ucc38\uc5ec", "11114": "\uc96c\uc5bc\ub9ac-park", "11115": "empty", "11116": "40\uc5ec\uba85", "11117": "\uc0b0\uc5c5\uc735\ud569 \uac70\uc810\uc9c0\uad6c", "11118": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 33% \uc774\ud558", "11119": "\uc218\ub3c4\uc804\uae30\uacf5\uace0", "11120": "26 P20120030197", "11121": "\ud0dc\uad6d", "11122": "\ud55c\uc804\uae30\uc220", "11123": "2\ucc9c\ub9cc\uc6d0", "11124": "\ud0d5\uc815, \ud30c\uc8fc \ub4f1 \uc0b0\uc5c5\uc9d1\uc801\ub2e8\uc9c0 \ud074\ub7ec\uc2a4\ud130 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uac01\uc885 \uaddc\uc81c \uc644\ud654", "11125": "empty", "11126": "\ud55c\uc804KPS", "11127": "empty", "11128": "\uc5f0\uc131\uc801\uce35\ud310\uc6a9 \uc804\ud574\ub3d9\ubc15", "11129": "\uc0b0\uc5c5\uc740\ud589", "11130": "\ubb3c\uc9c8 \uc120\uc5b8 \ud615\uc2dd", "11131": "\ud0dc\uc591\uad11 \ubaa8\ub4c8", "11132": "\ud574\uc678\uc790\uc6d0\uac1c\ubc1c\uc0ac\uc5c5\ubc95 \uc81c4\uc870 \ud574\uc800\uad11\ubb3c\uc790\uc6d0\uac1c\ubc1c\ubc95 \uc81c2\uc870\uc758 2", "11133": "\ub85c\ubd07\uc751\uc6a9", "11134": "\ud604\uc9c0\uae30\uc5c5\uacfc \uacf5\ub3d9 \uac1c\ubc1c \ubc0f \ub9c8\ucf00\ud305\uc774 \ubc14\ub78c\uc9c1", "11135": "2%", "11136": "\uc218\ub825\ubc1c\uc804\uc6a9 \ub300\ud615\uc8fc\uac15\ud488", "11137": "\uacbd\ucc30\uccad", "11138": "empty", "11139": "empty", "11140": "\ud3ec\ud130\ube14 \uad11\ud559\uc2dd", "11141": "\uc138\ub77c\ubbf9", "11142": "\ud55c\uad6d\uc804\uc790\ud1b5\uc2e0\uc5f0\uad6c\uc6d0", "11143": "28%", "11144": "3\ucc9c\ub9cc\uc6d0", "11145": "\uc1a1\ub3c4 \uad7f \ub9c8\ucf13", "11146": "\uc2a4\ub9c8\ud2b8\ucf58\ud150\uce20", "11147": "empty", "11148": "\ud0dc\uc591\uad11", "11149": "10\ub144", "11150": "\uc911\uad6d", "11151": "\uc2dc\uc7a5\ucc3d\ucd9c", "11152": "\uae40\uc6a9\uad6c", "11153": "empty", "11154": "\uc815\ubcf4 \uc735\ud569 \uc0b0\uc5c5\uc6a9 \ub85c\ubd07 \uc81c\uc5b4", "11155": "\uc2a4\ub9c8\ud2b8 TV", "11156": "10%", "11157": "\uc8fc\ub825\uc0b0\uc5c5\uad6d", "11158": "empty", "11159": "\uc2dc\uc7a5\uc131", "11160": "empty", "11161": "\uc0c1\uc5c5\uacc4\uc5f4 \ud2b9\uc131\ud654\u00b7\ub9c8\uc774\uc2a4\ud130\uace0 3\ud559\ub144 \ud559\uc0dd 150\uba85 \ub0b4\uc678", "11162": "\uc250\ub77c\ud1a4 \uc6cc\ucee4\ud790 \ubb34\uad81\ud654\ud640 1\uce35", "11163": "empty", "11164": "LG\ud654\ud559", "11165": "empty", "11166": "2\ub144 \uc774\ub0b4", "11167": "empty", "11168": "\uc81c\uc8fc\ub3c4 \uc804\uae30\ucc28 \ubc30\ud130\ub9ac\ub9ac\uc2a4 \uc0ac\uc5c5\uc790 \ud655\uc815", "11169": "empty", "11170": "2017.9", "11171": "empty", "11172": "3DP", "11173": "\uc99d\ucc29\uae30\uc220", "11174": "empty", "11175": "\uc0bc\uad11\uc804\uc790(\uc8fc)", "11176": "\ud130\uce58\ud68c\ub85c", "11177": "\uc11c\uc6b8\ubb34\uc5ed\uc804\uc2dc\uc7a5", "11178": "26.1", "11179": "\uc0b0\uc5c5\ubd80", "11180": "empty", "11181": "\uc8fc\uc870", "11182": "23\uac1c", "11183": "\uc758\ub8cc", "11184": "11.1%", "11185": "20\uc5b5\uc6d0", "11186": "\ubb34\uc120\uc778\ud130\ub137", "11187": "\uc6b0\ud3b8\ubc95\uc2dc\ud589\ub839 \uc81c3\uc870\uc81c6\ud638", "11188": "\uae40\ub300\uc911\ucee8\ubca4\uc158\uc13c\ud130", "11189": "\uae30\uc5c5\uc815\ubcf4 DB", "11190": "\uc81c\uc8fc", "11191": "\ubd80\ud488\uc18c\uc7ac", "11192": "\ud3c9\ud310\ub514\uc2a4\ud50c\ub808\uc774", "11193": "\uacf5\uc8fc\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "11194": "\uc790\uc7ac\uc18c\uc694, \uc0dd\uc0b0\ub2a5\ub825", "11195": "empty", "11196": "\ubbf8\uad6d", "11197": "\uc6d0\uc8fc", "11198": "STX\uc870\uc120\ud574\uc591(\uc8fc)", "11199": "\ub125\uc2a4\ud2b8\uc774\uc628", "11200": "\uc2dc\uc7a5\ucc3d\ucd9c\uc744 \uc9c0\uc6d0\ud558\ub294 \uc120\uc81c\uc801 \ud45c\uc900\u00b7\uc778\uc99d \uac15\ud654", "11201": "empty", "11202": "\uc9d1\uc801\ud68c\ub85c \ubc0f \uac1c\ubcc4\uc18c\uc790 \ubc18\ub3c4\uccb4, \uc2e4\ub9ac\ucf58\uc6e8\uc774\ud37c", "11203": "\uc601\ub0a8\ub300", "11204": "\uc815\uc120\uc544\ub9ac\ub791\uc2dc\uc7a5", "11205": "\ub9ac\ud2ac\uc774\uc628, \ub9ac\ud2ac\ud3f4\ub9ac\uba38", "11206": "\uc704\uc131\ud655\uc0b0\ub2e4\uc911 \uc811\uc18d\ubc29\uc2dd", "11207": "\uc735\ud569\uc81c\ud488\uc5d0 \ucd5c\uadfc \ud2b9\ud5c8\ucd9c\uc6d0\ub41c \uae30\uc220\uc744 \ub9ce\uc774 \uc0ac\uc6a9\ub420\uc218\ub85d \uace0\ub4dd\uc810", "11208": "4\uc77c", "11209": "\uccad\uc8fc\ub300\ud559\uad50", "11210": "8,830\ub9cckW", "11211": "69\ubc31\ub9cc\uc6d0", "11212": "\uc601\uc9c4\uc0b0\uae30", "11213": "\ucd5c\uc885\ud6c4\ubcf4\uc9c0 \uc120\uc815\uacfc\uc815\uc5d0 \ud544\uc694\ud55c \ucc98\ubd84\uc7a5 \uc704\uce58\uae30\uc900* \ud3c9\uac00\uc790\ub8cc \ud655\ubcf4", "11214": "\u321c\ud6a8\uc131", "11215": "Con. N. U", "11216": "\uc7a5\uad00\uc0c1", "11217": "\uace0\uc131\ub2a5 \uace0\uc18d \uce69\ub9c8\uc6b4\ud130", "11218": "empty", "11219": "\uc12c\uc720\ud328\uc158", "11220": "\uc0b0\uc5c5\ub2e8\uc9c0 \uc6b4\uc601", "11221": "\uc218\uc694\uc790\uc6d0 \uac70\ub798\uc2dc\uc7a5 \uc911\uc7a5\uae30 \uc721\uc131 \uccad\uc0ac\uc9c4", "11222": "empty", "11223": "empty", "11224": "\uc8fc\uc2dd\ud68c\uc0ac \uc678\ubd80\uac10\uc0ac\uc5d0 \uad00\ud55c \ubc95\ub960", "11225": "\ud2f0\ud2f0\uc5e0", "11226": "\ub4f1\uc720\u2193", "11227": "\ub2e8\uc5f4 \ube44\ub2d0 \ubc0f \ubb38\ud48d\uae30 \ubd99\uc774\uae30", "11228": "2003~2008", "11229": "\ubbf8\uc580\ub9c8", "11230": "\uc815\uae30\uac1c\ucd5c \uc6d0\uce59, \ud544\uc694\uc2dc \uc218\uc2dc \uac1c\ucd5c", "11231": "EUREKA", "11232": "empty", "11233": "\uc8fc\ubcc0\uae30\uae30", "11234": "\uc804\uae30\ucc28", "11235": "\ub85c\ubd07, \uc2e0\uc18c\uc7ac\u00b7\ub098\ub178\uc735\ud569, IT\uc735\ud569 \uc2dc\uc2a4\ud15c, \ubc29\uc1a1\ud1b5\uc2e0 \uc735\ud569\ubbf8\ub514\uc5b4", "11236": "empty", "11237": "\uacf5\uac04 \uacf5\uc720 \uc11c\ube44\uc2a4", "11238": "170\ub9cc\uba85", "11239": "\ubd80\uc0b0\ub300", "11240": "\ud55c\ubc2d\ub300", "11241": "empty", "11242": "\uc720\uac00 \uc77c\uc815\uc218\uc900 \uc774\ud558 \ud558\ub77d \uc2dc \ucc28\uc561 \uc815\ubd80 \ubcf4\uc804 \ubc29\uc548 \ub9c8\ub828", "11243": "\ucf58\ud06c\ub9ac\ud2b8 \ud3ec\uc7a5", "11244": "\uae30\ud0c0 \uae30\ubc18\uc870\uc131", "11245": "\ub2e4\ud06c\ub85c\ucc98\ub9ac\ubd80\ud488, \ud06c\ub86c\ub3c4\uae08\ubd80\ud488 \uc544\uc5f0\ub3c4\uae08\ubd80\ud488", "11246": "\uad6d\ubbfcDR \uc2dc\ubc94\uc0ac\uc5c5", "11247": "\uace0\ud615 \uc5f0\ub8cc", "11248": "empty", "11249": "empty", "11250": "7.25(\uc6d4)", "11251": "empty", "11252": "2\uce35", "11253": "\uc571\uc2a4\ud1a0\uc5b4", "11254": "167", "11255": "2\uc77c\ucc28", "11256": "\uc2e0\ub8b0\uac10 \ud615\uc131", "11257": "\ubcf5\uc9c0", "11258": "67", "11259": "\uad6d\ubc29\ubd80 \uad00\ub828 \ubd80\ub300 \ubcf5\ubb34 \uc5f0\uacc4", "11260": "\uc18c\ubc29\uc2dc\uc124 \uc124\uce58\u2027\uc720\uc9c0 \ubc0f \uc548\uc804\uad00\ub9ac\uc5d0 \uad00\ud55c \ubc95\ub960", "11261": "empty", "11262": "27.3%", "11263": "empty", "11264": "\uc804\ub7b5\uc801 \ud575\uc2ec\uc18c\uc7ac \uae30\uc220\uac1c\ubc1c", "11265": "empty", "11266": "empty", "11267": "\ubc29\ub958\uc218 \uc218\uc9c8\uae30\uc900 \uac1c\uc120\uc5d0 \ub530\ub978 \uc790\uc5f0\ubcf4\uc804\uad8c\uc5ed \uaddc\uc81c\uc644\ud654", "11268": "\uad6c\ubbf8 \uc0c8\ud55c\uacf5\uc7a5", "11269": "Spin-on", "11270": "empty", "11271": "1996\ub144", "11272": "3\ub144 \uc774\ub0b4", "11273": "\uc54c\uc564\uc5d8\ubc14\uc774\uc624", "11274": "3\ub144 \uc774\ub0b4", "11275": "2/3 \uc774\ub0b4", "11276": "\uae30\uacc4\ubd80\ud488", "11277": "empty", "11278": "\uc9c0\ub2a5\ub85c\ubd07", "11279": "2014\ub144 7\uc6d4", "11280": "\uc0b0\uc5c5\uc790\uc6d0\ubd80", "11281": "8\ud488\ubaa9", "11282": "\uc644\uad6c\uc0b0\uc5c5 \ud65c\uc131\ud654\ub97c \uc704\ud55c \uc2a4\ub9c8\ud2b8 ToyWeb \uc11c\ube44\uc2a4 \uae30\uc220 \uac1c\ubc1c", "11283": "\ub3c4\uc11c\uc9c0\uc5ed \uc5d0\ub108\uc9c0 \uc790\ub9bd\uc744 \uc704\ud55c \uc735\ud569\ud615 \ub9c8\uc774\ud06c\ub85c\uadf8\ub9ac\ub4dc \uc801\uc6a9\u318d\uc548\uc815\ud654 \ubc29\uc548", "11284": "\ub3d9\ub0a8\uad8c(5) \ubd80\uc0b0, \uc6b8\uc0b0, \uacbd\ub0a8, \uc9c4\uc8fc, \uc6b8\uc0b0TP", "11285": "\uc9c0\uc13c", "11286": "\ub300\ud55c\ubbfc\uad6d \uae30\uc220\ub300\uc0c1", "11287": "\uc5ec\uc131\uacfc\ud559\uae30\uc220\uc778 \ub2e8\uccb4\ud65c\ub3d9 \uc9c0\uc6d0", "11288": "\uc9c0\uc5ed\uc758 \uae00\ub85c\ubc8c \uacbd\uc7c1\ub825 \ud655\ubcf4", "11289": "20% \uc774\uc0c1", "11290": "2020\ub144 1\uc6d4 \uc774\ud6c4", "11291": "\ub300\uc804", "11292": "\uc9c0\uacbd\ubd80 \ubb34\uc5ed\uc870\uc0ac\uc2e4\uc7a5", "11293": "\uc804\uc790\uac70\ub798\ud611\ud68c", "11294": "\uc2e0\uae30\uc220\uc2e4\uc6a9\ud654 \ucd09\uc9c4\ub300\ud68c", "11295": "10", "11296": "\uc911\uc18c\uae30\uc5c5\uc758 \ud604\uc7a5 \uc560\ub85c \ud574\uacb0 \ubc0f \uae30\uc220\ud601\uc2e0 \ucd09\uc9c4", "11297": "\uc911\ub0a8\ubbf8 \ud1b5\uc0c1\uc0ac\uc808\ub2e8", "11298": "empty", "11299": "\uacf5\uae30\uc5c5", "11300": "\uc774\uc6a9\uc790", "11301": "\ubd80\uc0b0\uc2dc\uccad \uad6d\uc81c\ud68c\uc758\uc7a5", "11302": "empty", "11303": "\uc7ac\uc0ac\uc6a9, \uc7ac\uc81c\uc870 \ubc30\ud130\ub9ac \ud329 \uc131\ub2a5 \ubc0f \uc548\uc804\uc131 \uc2dc\ud5d8\ud3c9\uac00 \uae30\uc220\uac1c\ubc1c", "11304": "\ubbf8\uad6d", "11305": "\uacbd\uc601\uc804\ub7b5", "11306": "\ud504\ud0c8\ub808\uc774\ud2b8\uacc4 \uac00\uc18c\uc81c", "11307": "empty", "11308": "\ud589\uc815\uc790\uce58\ubd80", "11309": "\ud14c\ud06c\ub180\ub85c\uc9c0", "11310": "24.5", "11311": "empty", "11312": "\uc804\ub825\uc0ac\uc6a9 \ud328\ud134\uc5d0 \ubd80\ud569 \u25b8\ud560\uc778\ud61c\ud0dd\uc758 \ubcf4\ud3b8\uc801 \uc81c\uacf5\uacfc \uc218\uae09\uad00\ub9ac \uce21\uba74 \ub4f1 \uc885\ud569 \uace0\ub824", "11313": "\uc601\ub0a8\ub300", "11314": "\uad00\uc138\ubc95 WTO\ubc18\ub364\ud551\ud611\uc815", "11315": "25\uac1c\uc0ac", "11316": "\ud55c\uc804KPS", "11317": "\uae40\uc138\ud5cc \ub300\ud45c", "11318": "\uacbd\uae30\ub3c4 \uc591\uc8fc\uc2dc \ud654\ud569\ub85c 1710", "11319": "\uc804\ub825 \uc6d0\uc790\ub825", "11320": "\uc2a4\uc6e8\ub374", "11321": "2006.6.23", "11322": "7\uc6d4", "11323": "\u25c0Weakness", "11324": "17\uac1c", "11325": "UAE\uc6d0\uc804 \uac74\uc124\uc0ac\uc5c5 \ucd94\uc9c4\uc131\uacfc \ud64d\ubcf4 \ub4f1", "11326": "\uc54c\ub7ec\uc9c0\uc131 \uc5fc\ub8cc", "11327": "\uc6b0\uc218 SW\uc124\uba85\ud68c", "11328": "empty", "11329": "\uc808\uc5f0\uc800\ud56d, \uc808\uc5f0\ub0b4\ub825", "11330": "392\uc5b5\uc6d0", "11331": "empty", "11332": "\ubbfc\u00b7\uad70\uae30\uc220\uac1c\ubc1c\uc0ac\uc5c5", "11333": "\ud22c\uc785\uad6c \ubb3c\ub9ac\uc801 \uc704\uce58, \uac1c\ud3d0\uc758 \uc6a9\uc774\uc131", "11334": "11.2\uc870\uc6d0", "11335": "empty", "11336": "empty", "11337": "19.3%", "11338": "\uc815\ucc45\uac1c\ubc1c", "11339": "20%", "11340": "empty", "11341": "Radisson Royal Hotel", "11342": "\uc989\uc2dc\ucca0\ud3d0", "11343": "\ud55c\ubbf8\ubc18\ub3c4\uccb4(\uc8fc)", "11344": "2\uc871 \ubcf4\ud589 \ub85c\ubd07\uc744 \uc774\uc6a9\ud55c \uc784\ubca0\ub514\ub4dc SW \uacbd\uc5f0", "11345": "25.3%", "11346": "LS\uc5e0\ud2b8\ub860", "11347": "\u25e6\ubd80\ud558\uac15\uc81c \ucc28\ub2e8", "11348": "\ucda9\ub0a8\ub300 \uc0b0\ud559\ud611\ub825\ub2e8", "11349": "\ube44\ud589\uc548\uc804", "11350": "\uacbd\uae30\ud3c9\ud0dd", "11351": "\uacbd\uc870\uc0ac\ube44", "11352": "\uc18c\ube44\uc790\uc81c\ud488\uc548\uc804\uc704\uc6d0\ud68c", "11353": "\uc2dc\uc7a5\ud615", "11354": "empty", "11355": "\uacbd\uc601\uc790\ubb38\ub2e8", "11356": "\uc555\uc785\uc2dc\ud5d8\ubc95", "11357": "empty", "11358": "2021\ub144 1~4\uc6d4", "11359": "3\uff5e4\ud559\ub144", "11360": "empty", "11361": "9\ub9cc\ud1a4\uae09", "11362": "7", "11363": "15\ub9cc\uc6d0\uc774\uc0c1", "11364": "\uc2e0\uc7ac\uc0dd\uc5d0\ub108\uc9c0 \ud575\uc2ec\uae30\uc220 \ud655\ubcf4", "11365": "\uc0ac\uacfc \uc2a4\ud53c\ucee4", "11366": "\uacf5\uccad\ud68c", "11367": "\uacbd\uae30", "11368": "\ud55c\uad6d \uadf8\ub7f0\ud3ec\uc2a4\ud38c\ud504(\uc8fc)", "11369": "2004. 7. 19(\uc6d4) \uff5e 2004. 9. 15(\uc218)", "11370": "\u25b8\ub514\uc790\uc774\ub108, \uc778\ubb38\uc0ac\ud68c\ud559 \uc804\ubb38\uac00 \uae30\ud68d \ucc38\uc5ec\ud558\uc5ec \uae30\uc220-\uc2dc\uc7a5 \uc5f0\uacc4\ud55c \ubcf5\ud569\uae30\ud68d \uccb4\uacc4 \ub3c4\uc785", "11371": "empty", "11372": "empty", "11373": "empty", "11374": "\ub300\uaddc\ubaa8 \uace0\uc6a9\ucc3d\ucd9c\ud615", "11375": "\uc544\uc774\ud2f0\ud50c\ub7ec\uc2a4(\uc8fc)", "11376": "\uad11\ud1b5\uc2e0\uc2dc\uc2a4\ud15c, \uad11\ud1b5\uc2e0\ubd80\ud488", "11377": "\ud0dc\uc591\uad11, \ud48d\ub825, \uc218\uc18c\u00b7\uc5f0\ub8cc\uc804\uc9c0, \ubc14\uc774\uc624", "11378": "\uad6d\uc81c\ud68c\uc758\uc7a5", "11379": "\ubc18\ub3c4\uccb4 \ud14c\uc2a4\ud2b8\uc7a5\ube44 \uc81c\uc870 \uad00\ub828 \ubd84\uc57c \uae30\uc220\uc774\uc804 \ud6a8\uacfc \uae30\ub300", "11380": "\uc790\ub3d9\ucc28\ubd80\ud488\uae30\uc5c5", "11381": "\uc0bc\uc131\uc804\uc790", "11382": "\uc544\uc2a4\ud0c0\ub098 \uc0dd\uba85\uacf5\ud559\uc13c\ud130 \uac74\uc124", "11383": "\uacf5\uacf5\uc870\ub2ec \ucc38\uc5ec \uae30\ud68c \ud655\ub300", "11384": "\uccad\uc815\uc5d0\ub108\uc9c0, IT\uc735\ud569 \uc758\ub8cc\uae30\uc220\uc0dd\uba85\uacf5\ud559, \ub098\ub178, \ud56d\uacf5\uc6b0\uc8fc \ub4f1", "11385": "\uc0b0\uc5c5\uc5f0\uad6c\uc6d0", "11386": "\uc9c0\uc5e0\ub300\uc6b0\uc624\ud1a0\uc564 \ud14c\ud06c\ub180\ub85c\uc9c0(\uc8fc)", "11387": "\uc911\u00b7\uace0\ub4f1\ud559\uc0dd", "11388": "80%", "11389": "\uc81c\uc870\uc5c5\uccb4", "11390": "\uad6d\ubb34 \ucd1d\ub9ac \ud45c\ucc3d", "11391": "\uad50\ud1b5\uc57d\uc790 \uc774\ub3d9\uc9c0\uc6d0 \ub4f1 \uc0ac\ud68c\ud604\uc548 \ud574\uacb0\uc744 \uc704\ud55c \uc790\uc728\uc8fc\ud589 \uc2e0\uc11c\ube44\uc2a4 \ucc3d\ucd9c", "11392": "\uc790\ub3d9\ucc28\uc6a9\ud488,\uc7a1\ud654", "11393": "empty", "11394": "empty", "11395": "\ud55c\uad6d", "11396": "empty", "11397": "\ud569\uc131\uc11d\uc720, \uac00\uc2a4,\uce74\ubcf8\ube14\ub799", "11398": "\uc54c\uc5d0\uc2a4 \uc624\ud1a0\uba54\uc774\uc158", "11399": "\uc7ac\ub2e8\uacf5\uc815 \uc218\ud589\uad6d", "11400": "empty", "11401": "\uc120\uc218\uae08\ud658\uae09\ubcf4\uc99d\uc11c", "11402": "\ubbf8\ub798 \uc790\ub3d9\ucc28\uc758 \uacbd\uc7c1\ub825 \uac15\ud654", "11403": "empty", "11404": "ISO 9283", "11405": "\u25ab\uc815\uc8fc\uc5ec\uac74", "11406": "\uc601\ud558 20\u2103", "11407": "\uccad\uc815\uc11d\ud0c4\uc5d0\ub108\uc9c0", "11408": "\uc778\ud130\ub137 \ubc30\uc1a1\uc911\uac1c\uc2dc\ud15c(e-Agency) \uad6c\ucd95 \uae30\uc220", "11409": "150\uac1c\uc0ac", "11410": "\ud22c\uc790\uc720\uce58\uc124\uba85\ud68c", "11411": "empty", "11412": "\ub098\ub178\uae30\uc220\uac1c\ubc1c\ucd09\uc9c4\ubc95", "11413": "\uad70\uc0b0", "11414": "empty", "11415": "8.6", "11416": "800\ub9cc\ub2ec\ub7ec", "11417": "empty", "11418": "empty", "11419": "\uc5c5\uccb4\uac00 \uc6d0\uc0b0\uc9c0 \uc0ac\ud6c4\uac80\uc99d\uc744 \uc900\ube44 \ud560 \uc218 \uc788\ub294 \ub300\ube44\ud544\uc694", "11420": "\ud2bc\ud2bc\ud55c \uc5f0\uacb0\uace0\ub9ac \ub4e0\ub4e0\ud55c \uc911\uacac\uae30\uc5c5", "11421": "\uc2e0\ub77c, \ub86f\ub370", "11422": "IT\uc735\ud569 \ud655\uc0b0\uc804\ub7b5", "11423": "empty", "11424": "634", "11425": "\ud0dc\uc885 \uc528\uc564\uc544\uc774", "11426": "\ub0a8\uba74\uc815\uc218\uc7a5", "11427": "\ud55c\uad6d\uc12c\uc720\uc0b0\uc5c5\uc5f0\ud569\ud68c", "11428": "\ucf00\uc774\ud53c\ud06c\ub808\ud53c\uc544", "11429": "\uc870\uc120\uc5c5\ud2b9\ubcc4\uace0\uc6a9\uc9c0\uc6d0\uc5c5\uc885 \uc9c0\uc815 \uc5f0\uc7a5 \ub4f1", "11430": "empty", "11431": "\uc885\uc774\uae30\uc800\uadc0", "11432": "\ud658\uacbd\uc124\uc815", "11433": "KETI", "11434": "\ud310\ub9e4\uc989\uc2dc \ubb34\uc0c1\ud68c\uc218 \uc758\ubb34", "11435": "\uc8fc\uac70\uae30\ub2a5", "11436": "\ub300\ube44", "11437": "\uc911\uad6d", "11438": "\uc758\ub8cc\ubc95", "11439": "\uc11c\uc6b8\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "11440": "\ub450\uc0b0\uc911\uacf5\uc5c5, \ud604\ub300\uc911\uacf5\uc5c5, \ub300\uc6b0\uac74\uc124, \ud604\ub300\uac74\uc124", "11441": "\uc18c\uc131 \uac00\uacf5", "11442": "\uc7ac\ud65c\uacfc\ud559\uae30\uc220\ud559\uacfc \ud504\ub85c\uadf8\ub7a8 \uac1c\uc124\u00b7\uc6b4\uc601(\uc608\uc815)", "11443": "empty", "11444": "empty", "11445": "1\ub144", "11446": "\uc2dd\ud488\uc758\uc57d\ud488\uc548\uc804\uccad", "11447": "empty", "11448": "empty", "11449": "\ubd81\ud56d", "11450": "\ud64d\ubcf4\uc9c0\uc6d0", "11451": "0.9\ubc31\ub9cc$", "11452": "(\uc8fc)\uc120\ubc14\uc774\uc624\ud14d", "11453": "\uad11\uc800\uc6b0, \ud150\uc9c4, \ub2e4\ub80c \ub4f1 3\uac1c\uc18c", "11454": "19%", "11455": "\ub9ac\ud3ec\ud305\ud234", "11456": "\uc790\ub3d9\ucc28", "11457": "56.8\ub9cckW", "11458": "\uc5d8\ub9ac\uba58\ud0c8\uc0ac\uac00", "11459": "\uae00\ub85c\ubc8c\uc0b0\ud559\ud611\ub825\ud615", "11460": "\ubb34\uc5ed\uc13c\ud13051\uce35", "11461": "\uad6c\ubbf8", "11462": "\uc9c0\uc2dd\uc0b0\uc5c5\uc13c\ud130", "11463": "\ube44\ub514\uc624 \ud14c\uc774\ud504", "11464": "empty", "11465": "\ud615\uad11 \ub7a8\ud504", "11466": "\ube44\uc6a9\uc808\uac10", "11467": "\uace0\uc18d \uc785\uccb4 \ub80c\ub354\ub9c1 \uae30\uc220 \ub4f1", "11468": "\ud574\ub2f9 \uc218\ud589\uae30\uad00 \uc0ac\uc5c5\ube44\uc758 33% \uc774\ud558", "11469": "empty", "11470": "empty", "11471": "\ubc14\uc774\uc624 \uba54\ub514\uceec \uc18c\uc7ac", "11472": "\uc11d\uc720\uc81c\ud488", "11473": "\u321c\uc774\ub8e8\ud329", "11474": "\uc7ac\uc0dd\uc5d0\ub108\uc9c0 \ud2b9\ud654\ud615 \ud074\ub7ec\uc2a4\ud130", "11475": "\uacf5\uc8fc\ub300\ud559\uad50 \uc0b0\ud559\ud611\ub825\ub2e8", "11476": "15.9\uc5b5\ubd88", "11477": "\uc804\ub77c\ubd81\ub3c4", "11478": "\uc721\uc0c1\uc804\ub825", "11479": "\uc778\ub3c4\uc2a4\ucf00\uc904 \uc0c1 \uace0\ubd80\uac00\uac00\uce58 \uc120\ubc15(LNG\uc120, \ub300\ud615 \ucee8\ud14c\uc774\ub108\uc120 \ub4f1) \uc9d1\uc911 \uc778\ub3c4", "11480": "\ubc18\ub3c4\uccb4", "11481": "13.9%", "11482": "\uc911\uad6d", "11483": "\ud1b5\uacc4\uccad\uc7a5", "11484": "100%", "11485": "2008\ub144", "11486": "\ud55c\uad6d\uae30\uacc4\uc0b0\uc5c5\uc9c4\ud765\ud68c", "11487": "empty", "11488": "19,404\u33a1", "11489": "empty", "11490": "\uac74\uad6d\ub300", "11491": "\uc7a1\ucf54\ub9ac\uc544(\uc720)", "11492": "\uc2dc\ub9ac\uc6b0\uc2a4\uc18c\ud504\ud2b8", "11493": "\uc5b4\ud328\ub7f4, \uc0b0\ud559\ud611\ub3d9, \ubd80\uc790\uc7ac", "11494": "POS\ub4f1 \uc720\ud1b5\uc815\ubcf4\ud654, \ubb3c\ub958\ud45c\uc900\ud654, \uc804\ubb38\uc0c1\uac00\ub2e8\uc9c0 \uac74\ub9bd\ub4f1", "11495": "\uad11\ud0dd\uc81c", "11496": "empty", "11497": "\ud55c\uad6d\uc804\ud1b5\uad00", "11498": "\uc720\uc9c4\uae30\uc5c5(\uc8fc) \uad11\uc591\uacf5\uc7a5", "11499": "\u321c\ub098\ubaa8\uc778\ud130\ub799\ud2f0\ube0c", "11500": "empty", "11501": "\ud55c\uc591\ub300\ud559\uad50" }