--- dataset_info: features: - name: slurp_id dtype: int64 - name: sentence dtype: string - name: annotation dtype: string - name: intent dtype: class_label: names: '0': addcontact '1': alarm_query '2': alarm_remove '3': alarm_set '4': audio_volume_down '5': audio_volume_mute '6': audio_volume_other '7': audio_volume_up '8': calendar_query '9': calendar_remove '10': calendar_set '11': cleaning '12': coffee '13': convert '14': cooking_query '15': cooking_recipe '16': createoradd '17': currency '18': datetime_convert '19': datetime_query '20': definition '21': email_addcontact '22': email_query '23': email_querycontact '24': email_sendemail '25': events '26': factoid '27': game '28': general_affirm '29': general_commandstop '30': general_confirm '31': general_dontcare '32': general_explain '33': general_greet '34': general_joke '35': general_negate '36': general_praise '37': general_quirky '38': general_repeat '39': greet '40': hue_lightdim '41': hue_lightoff '42': hue_lightup '43': iot_cleaning '44': iot_coffee '45': iot_hue_lightchange '46': iot_hue_lightdim '47': iot_hue_lightoff '48': iot_hue_lighton '49': iot_hue_lightup '50': iot_wemo_off '51': iot_wemo_on '52': joke '53': likeness '54': lists_createoradd '55': lists_query '56': lists_remove '57': locations '58': music '59': music_dislikeness '60': music_likeness '61': music_query '62': music_settings '63': news_query '64': play_audiobook '65': play_game '66': play_music '67': play_podcasts '68': play_radio '69': podcasts '70': post '71': qa_currency '72': qa_definition '73': qa_factoid '74': qa_maths '75': qa_stock '76': query '77': querycontact '78': quirky '79': radio '80': recommendation_events '81': recommendation_locations '82': recommendation_movies '83': remove '84': sendemail '85': set '86': settings '87': social_post '88': social_query '89': takeaway_order '90': takeaway_query '91': ticket '92': traffic '93': transport_query '94': transport_taxi '95': transport_ticket '96': transport_traffic '97': volume_other '98': weather_query '99': wemo_off '100': wemo_on - name: audio dtype: audio splits: - name: train num_bytes: 2920956911.136 num_examples: 50628 - name: devel num_bytes: 477355969.9 num_examples: 8690 - name: test num_bytes: 709706969.726 num_examples: 13078 - name: train_synthetic num_bytes: 2571103452.542 num_examples: 69253 download_size: 6753580307 dataset_size: 6679123303.304 --- # Dataset Card for "slurp" [More Information needed](https://github.com/huggingface/datasets/blob/main/CONTRIBUTING.md#how-to-contribute-to-the-dataset-cards)